• 통큰쿠폰이벤트-통합
  • 통합검색(8)
  • 리포트(8)

"BCD-to-7-segment dec" 검색결과 1-8 / 8건

  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    );bcd7seg h7(.bcd(go_hex[0]),.display(oHEX7_D));bcd7seg h6(.bcd(go_hex[1]),.display(oHEX6_D));bcd7seg ... h5(.bcd(go_hex[2]),.display(oHEX5_D));bcd7seg h4(.bcd(dis),.display(oHEX4_D));multi multi0(.a(to_multi_g ... [11:8]),.display(oHEX2_D));bcd7seg h1(.bcd(money[7:4]),.display(oHEX1_D));bcd7seg h0(.bcd(money[3:0])
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    실험 준비물 & DataSheetQuartus Ⅱ 64-bit, DEO-NanoFND 1개[실험에 쓰이는 IC의 Data Sheet]7448 (BCD To 7-Segment)7490 ... DEC)74151 (MULTIPLEXER)⑤ 74190 (BCD COUNTER) ⑥ 74283 (4 BIT ADDER)? ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 전자공학실험1 실험7장 결과보고서 : 디코더와 멀티플렉서
    to 7 segment decoder1741518:1 MUX174155Dual 1:4 Demux (4:16 dec)1741934 bit up/down counter13.실험과정 및 ... 결과 고찰3.1 7447 : 7- segment decoder1)그림 7.7의 회로를 Bread Board에 구현.2)입력 DCBA의 조합을 통해 7-segment LEDA에 나타나는 ... 동작 원리를 익히고 7-segment LED에 display하는 모양에 따라 디코더를 설꼐할 수 있도록 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.31
  • Verilog 및 Quartus II를 이용한 논리회로 설계 실습 3-예비,결과 보고서
    을 이용한 BCD-to-7-segment decoder 설계verilog gate-level 을 이용한 BCD-to-7-segment decoder의 Waveform-> BCD-to ... 완성하시오.BCD-to-7-segment decoder의 logic diagram-> 이 logic diagram은 5번에서 얻은 BCD code 9의 보수를 이용한 7-segment ... code to 7-segment는 4input으로 BCD code를 입력받아서 7 output으로 7-segment기의 LED에 연결하여 입력에 따라 LED가 켜질 수 있도록 설계했다
    리포트 | 8페이지 | 1,000원 | 등록일 2009.01.25
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    std_logic_vector is-- 7 segment decoder variableres : std_logic_vector (7 downto 0); begin if ( bcd ... ; typestatesis (s0, s1, s2); signalcurst: states; function dec_7_seg( bcd : integer range 0 to 9) return ... clk1; t := get_10_val( sec ); d7_sec10 = dec_7_seg( t ); t := get_1_val( sec ); d7_secbase = dec_7_seg
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 7segment
    BCD-7세 그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008. ... 1"이 들어오면 7Segment의 a에 해당하는 segment 에 불이 들어온다.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    ; entity dec7 is port (bcd : in integer range 0 to 9; d : in std_logic; seg : out std_logic_vector(7 ... downto 0)); end dec7; architecture behav of dec7 is signal y : std_logic_vector(6 downto w} ... ; 알람 ON/OFF LED알람 설정부분library ieee; --분리한 각 숫자를 7-segment 배열에 표시하는데 사용한다. use ieee.std_logic_1164.all
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • [asic] slice adder
    {3 BCD TO 7-SEGMENT DECODER0번 지역부터 9번 지역에 있는 10개의 센서의 작동상태를 감지하여 지역의 위치를 표시하는 회로를 7-segment 표시장치를 이용하여 ... 이를 7-SEGMENT 표시장치(Y)를 이용하여 아래와 같은 진리표를 작성할 수 있다.BCD TO 7-SEGMENT DECODER{입 력BCDYABCDEFG000001111110100011100000200101011011300111110011401001100101501010110111601100111111701111100110810001111111910011110111 ... y1, z1 : in std_logic;y2 : out std_logic_vector(7 downto 0));end Dec3x7;architecture Behavioral of Dec3x7
    리포트 | 8페이지 | 1,000원 | 등록일 2003.03.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대