• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(59)
  • 리포트(59)

"Bcd 3 Converter" 검색결과 1-20 / 59건

  • BCD to EX3 Code Converter
    BCD to EX3 Code Converter1. Specification10진 디지털에 대한 Excess 3 code는 10진 디지트에 플러스 3을 해주는 코드이다. ... 이러한 BCD to EX-3 Code Converter를 2 input NAND Gate, 3 input NAND Gate, 2 input NOR Gate, Inverter 를 사용하여 ... 맵을 작성하고, 각각 스케메틱과 VHDL로 설계한다.2. formulationTruth TableDecimal DigitInputOutputBCDExcess-3ABCDWXYZ0**
    리포트 | 8페이지 | 5,000원 | 등록일 2009.05.27
  • BCD to EXCESS-3 CODE CONVERTER
    (BCD)begincase(BCD)iswhen "0000" => excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 ... isPort ( BCD : in STD_LOGIC_VECTOR (3 downto 0);//BCD코드를 받아들이는 포트설정EXCESS3 : out STD_LOGIC_VECTOR (3 ... PORT MAP(BCD => BCD,EXCESS3 => EXCESS3);tb : PROCESSBEGIN-- Wait 100 ns for global reset to finishwait
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter(1) BCD to Excess-3 Code 변환기란?10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" ... 3 downto0) );6: end bcd2excess3;7: architecture behavioral of bcd2excess3 is8: begin9: process (bcd)10
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다. ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 시립대 전전설2 Velilog 결과리포트 5주차
    to Excess3 Converter- 시뮬레이션 결과Functional simulationCodecodeCode 설명BCD 값이 00010000보다 작은 값이 입력되면 0000 ... Converter4:1Mux gate model코드 분석 및 고찰결론참고 문헌1. ... 만약 00010000이보다 큰 값을 넣어주면 BCD [7:4]에도 0011을 더해주고 BCD[3:0]에도 0011을 더해준다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대 전전설2 Velilog 결과리포트 6주차
    to Excess-3 code Converter를 설계해 볼 것이다. ... -3 code ConverterSIPO Resistor을 사용해서 BCD to Excess-3 Convertor를 만든 회로로써, Input값에 BCD를 입력할 때 SIPO Resistor를 ... 그 후 BCD코드가 들어가면 0011을 더해주어 Excess-3 code가 나오도록 한 회로이다.? 진리표3.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 시립대_전전설2_Velilog_예비리포트_7주차
    Serial I/O BCD to Excess-3 Code Converter5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 이를 진행하기 위해서는 Mealy Machine이나 Moore Machine을 사용해야 한다.저번 실험에서 만들었던 것과 같이 BCD to Excess-3 Converter를 만드는 ... 코드 분석(2) 핀 설정(3) 테스트 벤치 작성 후 컴파일(4) 시뮬레이션3) 직렬 입력 / 병렬 출력 BCD to Excess-3 code converter(1) 회로 코드, 핀
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 확인학습 1-3 작성 과제
    확인학습1. 4비트 초과 코드표와 2의 보수 코드표를 만드시오10 진수BCD 코드3초과 코드2의 보수0*************000101001111200100101111030011011011014010001111100501011000101160110100110107011110101001810001011100091001110001112 ... %d + %d = %s - %s = %d\n", a, b, str1, str2, a + b);a = -7, b = 3;Convert2sComplement(a, str1);Convert2sComplement ... 다음 계산문제를 4비트 2의 보수표기로 바꾸어 계산하고 그 결과를 10진수 숫자로 쓰시오.① 4-6② 2+4③ -7+-3콘솔창 결과:프로그래밍한 소스:#includevoid Convert2sComplement
    리포트 | 3페이지 | 2,500원 | 등록일 2023.03.06
  • 시립대 전전설2 Velilog 예비리포트 7주차
    Serial I/O BCD to Excess-3 Code Converter5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 이를 진행하기 위해서는 Mealy Machine이나 Moore Machine을 사용해야 한다.저번 실험에서 만들었던 것과 같이 BCD to Excess-3 Converter를 만드는 ... FSM중 Moore Machine, Mealy Machine을 설계해보고 그를 이용해 Counter, Converter 등을 설계해 보는 것이 이번 실험의 목적이다.배경 이론(1)
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 디지털시스템실험 3주차 예비보고서
    BCD Converter의 진리표를 작성한다. ... 그러나, 3-to-8 디코더는 3비트 코드를 해독하여 코드의 각 구성 성분마다 하나씩, 전부 8개의 출력을 제공하는데 사용할 수 있다.② Binary-to-BCD Converter표2과 ... 라인 디코더를 이용해 4bit binary-to-BCD 컨버터 회로를 설계하여, Verilog 코딩을 한다.3.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 디시털시스템실험 - 디코더 설계 결과보고서
    4 to 16 디코더와 shift and add3 알고리즘을 바탕으로 한 binary to bcd 디코더의 구현실험결과BINARY TO BCD CONVERTER 의 코드는 아래와 같다 ... 출력은 S3,S2,S1,S0이며 S3이 최상위, S0이 최하위 비트다.BINARY TO BCD CONVERTER의 전체적인 과정은 아래와 같다.토의예비보고서에서 작성한 코드가 완전히 ... /*코드설명line 1 ; 인자로 A3,A2,A1,A0,S3,S2,S1,S0를 갖는 converter 모듈 정의line 2 ; converter의 입력 A3,A2,A1,A0line
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.14
  • 아주대 논리회로실험 실험10 DAC & ADC converter 예비보고서
    이때 앞에서 BCD코드마다 가중치가 다르기 때문에 계11? ... 실험 이론1) DAC- DAC는 Digital-to-Analog Converter의 줄임말으로 0과 1로 구성된 디지털 데이터를 전압, 전류와 같은 연속 적인 데이터인 아날로그 신호로 ... 기본적인 구조는 위의 그림과 같다.2) ADC- ADC는 Analog-to-Digital Converter의 줄임말으로 전류와 같은 연속적인 데이터인 아날로그 신호를 0과 1로 구성된
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    bcd conversion algorithmbinary to bcd conversion code진행과정Binary to BCD Converter의 기본 원리는 다음과 같다.1. binary ... 참고 문헌전자전기컴퓨터설계실험2 교안 Hyperlink "https://norastory.tistory.com/3" https://norastory.tistory.com/3 bcd ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드code(3) 실습30000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single FND
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    도출해내자K-MAPOUTPUT=> 4bit converter(bcd to excess 3) module=> 8bit로 확장=> verilog text=> simulation=> ucf ... 1=> MODULE=> VERILOG CODE=>SIMULATION(alway문 사이에서 300초 단위로 반복됨을 알 수 있다.)=> UCF(PIN 설정)● 아래 표를 참조하여 BCD ... 디코더- 3개의 입력선과 8개의 출력선을 갖는 디코더① 3x8 디코더 로직 설계② 3x8 디코더 Test Fixture 파일 생성③ 3x8 디코더 시뮬레이션 결과 확인2) MUX(
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    Binary-to-BCD Converter표1과 같이 Binary를 BCD로 변환 하려면 10 이상이 BCD 코드의 0000이 되어야 한다. ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 아주대학교 논리회로실험 / 10번 실험 D/A, A/D Converter 예비보고서
    D/A & A/D Converter (DAC & ADC)1. ... 다이어그램진리표AY0Z10※Z는 높은 임피던스 값에 의한 OFF 상태를 의미한다.IC 이름DM74LS90 (Decade and Binary Counters)Reset / Count 진리표BCD ... 디지털 변환기 ( ADC / Analog to Digital Converter)아날로그 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    역할 분담회로도 설계 (Schematic)○PCB 설계와 회로 최적화(Convert Schematic to PCBand Optimization)○납땜○오류 검사 및 수정○보고서 작성 ... 총 3번을 거쳐 펄스 생성부에서 나온 10MHz가 10Hz의 출력으로 줄어든다. 74LS90는 74LS390을 거쳐 나온 10Hz 주파수를 1Hz로 낮추어 주는 역할을 한다.3) 카운터부1Hz의 ... 작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 아주대학교 논리회로실험 / 10번 실험 D/A, A/D Converter 결과보고서
    D/A & A/D Converter1. 실험 과정 및 결과 분석1번 실험1번 실험 회로 결선도1번 실험 스케메틱1. ... 회로는 74HC90 칩 1개과 74HC04칩 1개 그리고 74HC03칩 1개를 사용한다.주어진 회로에서 이전 실험에서 사용했던 7490 소자, 즉 BCD-Counter 기능을 사용한다 ... 7404 소자의 1,2,3,4Y를 7405소자의 1,2,3,4A와 연결한다.6. 5V 전압과 연결된 4개의 1.5K 병렬 저항을 위부터 아래로 7405 소자의 1,2,3,4Y 출력과
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 결과보고서
    , 예상했던 것과 같이 BCD 입력으로 Excess-3 출력을 나타내는 BCD to Excess-3 Converter의 성질을 명확히 확인할 수 있었다. ... 따라서 가능하다면 소자의 논리 게이트를 확인하고 한 게이트 건너 한 게이트 형태로 구성하는 게 안전할 수 있겠다는 생각이 들었다.실험 2에서는 74HC42 소자를 이용하여 BCD to ... SW4 D3 =SW0+SW3+SW4, D4 =SW0+SW2+SW4 즉, (SW0, SW1, SW2, SW3)= Y(n) 이라고 했을 때, SW0가 1이라면 D3, D4에 1이 나오고
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.20
  • fpga bcdconverter
    bcd converter는 add-3module로 표현가능한데 총 7개의 add3module로 bcd결과값을 얻어 각 자리수를 찾을 수 있다.Add3module에서의 진리표- 작성한 ... 이 bcd converter는 add-3module로 표현가능한데 총 7개의 add3module로 bcd결과값을 얻어 각 자리수를 찾을 수 있다.각 자리수를 찾고 나서 십의자리,일의자리에 ... 왼쪽으로 shift되었을 때 값이 5이상이면 3을 더한다.3. 1,2번을 반복한다4. binary 가 8bit로 이루어져있으면 8번 shift해야한다.이 원리로 BCD로 바꿔줄수 있다
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대