• 통큰쿠폰이벤트-통합
  • 통합검색(84)
  • 리포트(84)

"Binary up-counter" 검색결과 1-20 / 84건

  • [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    up-counter1. ... 서론- 설계 목적① binary counter의 역할을 이해한다.② synchrnous type digital circuit의 설계과정을 이해한다.③ CAD 프로그램의 사용법을 익힌다 ... 서론- 설계 목적① binary counter의 역할을 이해한다.② synchrnous type digital circuit의 설계과정을 이해한다.③ CAD 프로그램의 사용법을 익힌다
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... 할당된 그 값들이 다시 하위 모듈인 FND array(실습4)의 과정을 반복하여 원하는 값이 segment에 출력되도록 한다.code실험6) 4-bit up-down counter의 ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    ~ 127 로 표현하는 모듈설계 조건*코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다.code(6) 실습64-bit up-down counter의 출력 값을 FND ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation ... bcd conversion algorithmbinary to bcd conversion code진행과정Binary to BCD Converter의 기본 원리는 다음과 같다.1. binary
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 시립대 전전설2 A+ 8주차 예비레포트
    확인: 8비트 binary 입력을 bus SW에 연결하여 오른쪽 표의 숫자들로 동작 확인할 것5) 응용과제Signed 4-bit up-down counter의 출력 값을 FND Array에 ... to bcd algorithm 참조bin2bcd.vtb_bin2bcd.vSimulation응용과제up_down_counter_fnd.vtb_up_down_counter_fnd.vSimulation3 ... 2fnd_decoder.vtb_fnd_decoder.vSimulation3) 실습 3fnd_array.vtb_fnd_array.vSimulation4) 실습 4bin2bcd.vtb_bin2bcd.vSimulation5) 응용과제up_down_counter_fnd.vtb_up_down_counter_fnd.vSimulation4
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부)(2) [실습 2] Design counter with PiezoSource ... 6]은 4-bit up-down counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module instantiation으로 ... 변환하여 FND array에 -128~127로 표현하는 모듈을 디자인 하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과 설계서 up counter
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다.3. ... up-down counter의 출력 값을 FND Array에 표시하시오. ... Results of this Lab (실험 결과)(1) Results of Lab 04-bit up counter의 출력 값을 single FND 에 표시하시오.- 입력:Clock
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실험 방법[실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.- 입력: 1Hz clock, resetn- 출력: 16진수로 표시[실습 2] Design ... 입력을 받아 decimal로 변환해 FND array에 -128 ~ 127로 표현하는 모듈을 디자인하시오- 예시[실습 6] 4-bit up-down counter의 출력값을 FND ... counter with Piezo- 입력: 1MHz clock, button SW 1~8(도레미파솔라시도)- 출력: piezo, 7-Segment- 동작: 1~8 각각의 버튼에 도레미파솔라시도의
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    [실습 6] 4-bit up-down counter의 출력 값을 FND Array에 표시하시오.Source codeTestbenchPin testbench 시뮬레이션 결과4. ... [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과b. ... Prelab(1) [실습 5]를 위하여 binary to BCD conversion algorithm에 대하여 조사하시오.- BCD(Binary-coded decimal, 이진화 십진법
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 시립대 전전설2 A+ 6주차 예비레포트
    연산자를 이용하여 쉽게 모델링이 가능함Ex) 8-bit Up CounterActive-low 비동기(asynchronous) 리셋을 가지는 8비트 up-counter의 모듈 설계11 ... SW1Q[3] ~ Q[0]: LED1 ~ 45) 실습 5다음의 특징을 가지는 4-bit up_down counter를 설계하고 시뮬레이션(적당한 입력 시퀀스 사용) 후 장비 동작 확인하시오.CLK은 ... 즉, 정보는 전원이 있을 때만 보관, 유지되며 전원이 차단되면 정보는 사라진다.2) S-R Latch2개의 NOR 게이트로 구성된 래치3) S-R Flip-FlopS-R 래치에 클럭을
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 서강대학교 디지털논리회로실험 레포트 7주차
    이와 같은 연결이 계속되어 counter를 구성한다.그림 SEQ 그림 \* ARABIC 2. 4-bit binary ripple counter-동기 counter동기 counter는 ... Counter의 일반적인 cycle-비동기 counter별도의 부가적인 소자 없이 n개의 flip-flop만으로 가장 간단한 형태의 n-bit binary counter를 구성할 수 ... 가장 일반적인 형태의 counter 유형은 n-bit binary counter이며 n개의 flip-flop으로 구성되어 2n개의 상태를 갖는다.그림 SEQ 그림 \* ARABIC
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... up down counter를 cascadable하게 구현했다. ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary ... 즉 사용하는 7-segment가 4개면 common단자 역시 4개이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 실습 5(binary to bcd conversion algorithm 조사)실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다.binary ... 기본 숙지 사항0) 7-Segment Decoder숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 서강대학교 디지털논리회로실험 레포트 9주차
    그림 18-(b)의 회로도우리는 사진 8과 같이 회로를 구현하였다.위 회로에서 A0~A2의 신호는 counter와 연결이 되어 있다. ... ROM에 저장되는 내용은 그 구조에 따라 ROM이 생산되는 시점 또는 별도의 장치에 의해 입력된다. 또한 이미 저장된 내용은 전원이 제거되더라도 지워지지 않는다. ... 또한 사용하지 않는 dot matrix와 관련한 DOT2_COM 신호는 모두 PULL-UP저항을 달아 주었는데 이는 common cathod type에서는 0일 때 on이기 때문이다
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • verilog 44multi 보고서
    N bit counter 는 0에서 2^n-1 까지 counting 을 할 수 있다.Add-and Shift Multiplier 우리가 손으로 하는 곱셈은 부분합을 왼쪽으로 밀어 나온값들을 ... (clk,rst,up,cnting,K);input clk; //클락input rst; //리셋input up; //라이징에지에 1이면 카운팅+1output[1:0] cnting; / ... 따라서 제어기와 카우터를 분리해 주는 것이 bit를 절약할 수 있는 방법이다. 100(n)을 셀 수 있는 counter는 7bit만 있으면 된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2018.12.27
  • [기초전자회로실험2] FPGA Board를 이용한 FSM 회로의 구현 예비보고서
    15Ripple up counter using J-K flip flop- J-K flip flop를 toogle 상태로 만들어준다. ... 카르노 맵과 부울식Binary 4-bit Synchronous Up Counter4-bit Up-Counter4-bit Synchronous Up Counter Timing Diagram ... (J=K=1)- 하강 에지에서 트리거를 갖는다. 즉, 하강 에지에서 변화한다.Ripple down counter using J-K flip flop?Qd?Qc?Qb?Qa10진수?
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • [예비레포트] Mod-n 카운터
    카운터 구성은 카운터 up/down 형태로 동기식과 비동기식의 구성이 가능하다. 4-bit counter state diagram위와 같이 4bit의 경우 2^4 개의 state를 ... , 7408, 74107474 dual D flip-flop , 7476.7478 dual JK flip-flop7493 4-Bit Binary Counter, 4-bit Counters7490 ... 실험제목: Mod-n 카운터실험방법사용부품전원공급기, 디지털 멀티미터, 함수발생기, 오실로스코프Bread Board, 장비 probe저항, LEDIC : 7400, 7402, 7404
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 전기전자기초실험 Flip-flop and Counter Design 결과레포트 (영어)
    , 4-bit bi-directional shift register, synchronous mod-10 counter circuit, and 4-bit Up/down reset counter ... Then up/down preset counter operated as up counter, preset value was going to be 0000. ... .▶ 4-bit Up/down preset counterFor up counting, we set the variable u_d=1 in the waveform of 4-bit up
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • 논리회로실험 - 제 7장 3비트 updown counterbinary와 gray 코드로 설계 결과보고서
    머신은 클럭과 함께 동기 작동한다.4)3Bits up/down counter(Binary code & Gray code)(1)state table(2)state diagram5)Binary ... IntroductionVDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counterbinary와 gray ... -주어진 entity, 상태표 및 상태도 사용-완성된 Binary/gray counter를 KIT에서 구현한다.-reset을 설계한다.
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 이진 계수기 실험보고서
    +5V에 연결하여 high로 한다.JK FF을 이용한 counter-up 이진 계수기-SW2를 high로 하고 전원을 연결한다. ... counter의 timing diagram.3) 동기형 계수기-두개의 dual JK FF와 2-입력 AND gate을 사용하여 회로를 구성SW2를 사용하여 모든 FF을 clear ... 실험결과 : 1)count-up Ripple Counter-7476 dual JK FF을 이용하여 그림과 같이 이진 계수기를 꾸민 다.SW2를 제외한 모든 J, K 단자 및 present단자는
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.25 | 수정일 2015.07.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대