• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(106)
  • 리포트(99)
  • 시험자료(4)
  • 논문(2)
  • 자기소개서(1)

"Binary vector" 검색결과 1-20 / 106건

  • 디시설, 디지털시스템설계 실습과제 3주차 인하대
    변경하여 문제에 주어진 test vector와 비교하기 쉽게 만들었다.시작과 끝의 입력 값을 모두 0000으로 초기화했고 #20마다 test vector를 변경해주었다. ... block diagram이고 아래는 4bit full adder에 사용된 각 1bit full adder의 block diagram이다.Waveform실습에서 배운대로 Radix를 binary
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 경북대 생명기술산업학 중간+기말 족보
    안토시아닌과 T-DNA region of the binary vector 기작 과정 설명 단원 전체 아우르는 질문으로 나옴19. 20. ... + dual replicon vector + Edible vaccine21. Gateway Cloning 기작 설명과 특징들 서술
    시험자료 | 1페이지 | 5,000원 | 등록일 2021.02.11
  • 3D프린팅용 파일 이해
    입력되어 인접된 면과 normal vector 의 방향이 반대 방향일 경우 반전 면이 생기게 된다 . ... 표면의 법선 색상 , 투명도 좌표 및 데이터를 포함하고 , ply 포맷은 stl 포맷과 비슷하게 ASCII 형식과 binary 형식이 있다 . ... 출력용 파일의 종류와 특성 4) STL 포맷의 개념과 형식 종류 ❶ 삼각형의 세 똑짓점이 나열된 순서에 따른 오른손 법칙 사용 ❷ Normal Vector 를 축으로 반시계 방향으로
    리포트 | 22페이지 | 2,500원 | 등록일 2020.09.26
  • 삼성 전자(화상면접자료)
    “Construction of two- or three-weight binary linear codes from defining sets”, submitted in Journal of ... channel.Received data r (r1, r2, … , rn)Decoded messageCorrect errors and remove n – k redundant symbolsError vector
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 이동통신 Matlab Simulink 시뮬레이션 과제 / Mobile communication simulation homework 1
    위 Quantization에서 사용된 bits의 수 만큼 Binary code를 읽어 Quantized value로 변환한다. ... c 그리고 Output sample의 vector를 z라고 하면, z=xc를 만족하여야 한다., 여기서 Zero-forcing equalizer의 output인 zk에서 ISI로 ... 각 Binary data에 따라 Sinc function에 weight (1 or -1)이 곱해져 Superposition의 형태로 결과가 나타난다.
    리포트 | 21페이지 | 3,000원 | 등록일 2020.09.04
  • 서포트 벡터 머신(Support Vector Machine, SVM)
    서포트 벡터 머신(Support Vector Machine, SVM)서포트 벡터 머신(Support Vector Machine, SVM)의 이름은 알고리즘의 기본 원리와 핵심 개념에 ... 그 활용 범위는 다양한 상황에서 계속해서 확장되고 있습니다.응용 사례들서포트 벡터 머신(SVM)은 다양한 분야에서 사용되며, 다음은 그 중 몇 가지 대표적인 사례입니다:이진 분류(Binary ... 즉, SVM은 데이터 포인트 간의 거리를 최대화하여 경계를 그리는 것으로 해석할 수 있습니다.이진 분류의 직관적인 아이디어: SVM은 주로 이진 분류(binary classification
    리포트 | 6페이지 | 2,500원 | 등록일 2023.06.27
  • 고려대학교 객체지향프로그래밍 A+ 기말고사 치팅시트
    preventing or catching programmers’ mistake, -Usability: easy to reuse or modify the codeMachine code: binary ... analysis, translation, text summary, chatbotTensor: n-dimensional array(0d tensor: scalar, 1d tensor: vector ... Full-connectedvectorize_layer = tf.keras.layers.TextVectorization(max_tokens=10000, output_sequence_length=250) / vectorize_layer.adapt
    리포트 | 2페이지 | 2,000원 | 등록일 2023.07.02
  • 치료용생체고분자 중간고사
    가장 가까운 매칭 상관성의 의미를 가지는 것 하나만 고르시오 (정답: 3 )보기: 지연가닥(lagging strand) - 오카자키절편(okazaki fragment)(1) 이분열(binary ... 비바이러스성 벡터(non-viral vector)(3) 만성폐쇄성폐질환(Chronic Obstructive Pulmonary Disease) - Alpha-1 antitrypsin ... Lentiviral vector(1) 5S rRNA - tRNA(2) Liposome - Lipid nanoparticles(LNPs)(3) TCR-T세포 - CAR-T세포(4) 전핵이식
    시험자료 | 4페이지 | 2,000원 | 등록일 2022.04.15 | 수정일 2022.07.16
  • Agrobacterium-mediated transformation (floral dipping method) -- 식물 형질전환 실험
    i. 실험목적형질전환이란? 원래의 세포가 가지고 있는 유전정보를 주로 박테리아 DNA 혹은 plasmid를 이용하여 새로운 세포에 유전정보를 삽입해 새로운 유전형질이 되도록 하는 분자생물학적 현상이다. 이러한 기술을 식물(옥수수, 애기장대 등)에 적용을 해 유전자변형생..
    리포트 | 4페이지 | 2,500원 | 등록일 2020.11.30
  • 병원미생물학 의학용어 총 405개 정리
    receptor감각수용기13cytoplasm세포질14cytoskeleton세포뼈대15nucleus핵16endoplasmic reticulum세포질그물17golgi complex골지장치18lysosome용해소체19binary ... entry침입구10adhesion부착11invasion침입12toxigenic독소생산균13etiology병인론14epidemiology역학15prevalence유병률16carrier사람보균자17biological vector생물학적 ... 매개체18mechanical vector기계적 매개체19babesiosis바베스열원충증20waterborne transmission수인성전파21airborne transmission공기매개전파131macrophage대식세포2natural
    리포트 | 10페이지 | 1,000원 | 등록일 2024.06.04
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    입력 A는 3비트이고 출력 Y는 8비트이므로 STD_LOGIC_VECTOR로 정의해주고, 각각 (2 downto 0), (7 downto 0)로 비트 크기를 설정해주었다.(5, 6행 ... decimal)BCD는 Binary-Coded Decimal을 줄인 말로 단어에서 알 수 있듯이 2진수, 10진수와 관련된 코드라는 것을 알 수 있다. ... 냄으로써 표현할 수 있다. 7 segment는 이와 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.(4) BCD (binary_coded
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • R-CNN 영상 이미지 인식을 이용한 차량간 거리 추정
    이를 binary mask라고 합니다. ... 여기서 사용한 CNN은 미리 훈련된 AlexNet의 변형된 버전이며 CNN의 마지막 단계에서 support vector machine을 사용하여 이미지를 분류한다.
    리포트 | 12페이지 | 2,500원 | 등록일 2023.06.09
  • 암호학 개념 정리 (현대대수학)
    상에서 정의된 (이항) 연산 (binary operation on S)이란,임의의 (a, b) ∈ S × S에 대하여, S의 원소를 하나씩 대응시키는 법칙(rule)이다.? ... space)이라고 하고,F의 원소를 스칼라(scalar), V를 원소를 벡터(vector)라고 부른다.∴ F × V → V, (a, v) ? ... ∈ F, ∀ v ∈ V(S4) a·(v + w) = a·v + a·w∀ a ∈ F, ∀ v, w ∈ V체 F의 V에 대한 스칼라 곱이 정의되어 있을 때, V를 F 상의 벡터공간(vector
    리포트 | 15페이지 | 3,000원 | 등록일 2020.08.23
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    A와 Y는 8비트 시그널로, STD_LOGIC_VECTOR라는 data type이다. ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • [자연과학] 기상정보학 핵심 요약 및 과제물
    설치.sudo yum –y install m4sudo yum –y install zlib-develsudo syum –y install libcurl-develDownload hdf5 binary ... tiff을 그림의 값을 새로운 좌표계로 형성하는 방법임.## linspace은 파이썬의 내장함수로서 10 개 간격으로 작성해줌.## lons : -180~179, 1 (360 개) vector
    리포트 | 44페이지 | 1,000원 | 등록일 2020.08.01
  • GMO와 안전성 기말고사 요약본
    유전자를 가진새로운 binary vector. ... Binary vector for plant transformation*plasmid vector의 특징1. ... *binary-vector의 발전- pBIN19: 최초로 개발된 binary vector. pGreen보다 커서 실험하기 어렵다.- pGreen : 다른 promoter와 마커, 표식
    리포트 | 6페이지 | 2,000원 | 등록일 2015.08.05
  • 20071026155017 2007년자료구조기말고사
    다음의 binary tree에 15개의 key가 저장되어 있다. 이 tree를 아래의 rank-node relationships을 이용하여 vector로 구현한다. ... 아래와 같은 binary search tree가 있다. Insert(5), Remove(2)를 수행한 이후의 binary search tree의 구조를 그려라. (10점)10. ... 이때 vector의 각 셀에 어떤 key값이 저장되는지 그려라. (20 points)rank-node relationshipsThe root of the tree is stored
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.12
  • MP3 파일 분석기
    2016.02.24 제작.MP3 파일 분석 프로그램입니다.MP3 파일을 Binary로 읽어 내부 ID3 Header와 Tag를 분석합니다.해당 파일의 아티스트, 앨범, Bit Rate ... Frequency 등의 정보를 확인할 수 있습니다.또한 폴더 내의 파일 추출 방법, 우클릭을 통한 Menu Popup 방법, File Drag & Drop Event, List Control, vector
    리포트 | 5,000원 | 등록일 2016.02.24 | 수정일 2022.08.23
  • 논리회로실험 2014 FSM
    (2 downto 0); --출력을 위한 내부신호signal next_state : std_logic_vector( 2 downto 0); --다음 상태를 표시하는 내부신호begincnt ... ALL;entity bin_gray_cnt isPort ( clk,rst_n,mode : in STD_LOGIC; --클락, 리셋, 모드 입력신호cnt : out STD_LOGIC_VECTOR ... downto 0)); --출력 신호end bin_gray_cnt;architecture Behavioral of bin_gray_cnt issignal state : std_logic_vector
    리포트 | 9페이지 | 1,000원 | 등록일 2014.11.05
  • <컴퓨터 구조 및 설계>3장 컴퓨터연산 요약정리
    준다.MIPS는 full word에 대해서만 정수 연산을 허용한다.Arithmetic for MultimediaGraphics and media processing operates on vectors ... 형태의 scientific notation으로 표현하면 좋은점 3가지- 부동소수점 숫자를 포함한 자료의 교환 간단하게 함- 부동소수점 산술 알고리즘 간단해짐- 불필요하정밀도 증가In binary ... 정수MIPS의 나눗셈 명령은 오버플로를 무시하므로, 몫이 너무 커서 오버플로가 발생하는 소프트웨어로 검사해야함. 0으로 나누는것도 마찬가지이다.3.5 부동소수점Fraction(소수부분) Binary
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.10.12
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대