• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(100)
  • 리포트(100)

"D-flipflop" 검색결과 1-20 / 100건

  • 디지털 논리회로 실험 8주차 D-FlipFlop 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 한 마디로 정리해서 EN이 HIGH일 때의 D값이 바로 출력이 된다.D Flip-flop의 동작에 대해 설명하시오.- D는 Delay를 의미하는 말이다.? ... 실험 목적D Latch와 D Flip-flop의 동작 원리를 살펴본다.2.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : D-FlipFlop소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 실험에서는 순서회로인 Latch와 Flip-Flop 중 Gated D Latch와 D FLIP-FLOP, T FLIP-FLOP에 대해 알아보고 이를 응용한 회로를 구현해보았다. 4.1.1 ... D를 1로 했을 때 CLK이 0에서 1이 되면 Q의 값을 1이 된다.D Flip-flop을 이용하여 T Flip-flop을 구현하는 실험이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • [vhdl] D-flipflop설계, testbench파일 포함
    library ieee; use ieee.std_logic_1164.all;entity D_FF is port(D, CLK : in bit; Q : out bit ... ); end D_FF; architecture simple of D_FF is begin process(CLK) ... begin if (CLK`event and CLK=`1`) then Q
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.14
  • [공학기술]vhdl-D flipflop, 8bit register
    library ieee;use ieee.std_logic_1164.all;entity dflipflop is port(d,clk:in std_logic; q:out std_logic ... );end dflipflop;architecture behave of dflipflop isbegin process(d,clk) begin if clk'event
    리포트 | 4페이지 | 5,000원 | 등록일 2007.06.26 | 수정일 2015.06.25
  • 시립대 전전설2 Velilog 결과리포트 6주차
    순차 조합 회로 중에서도 LATCH, D FlipFlop, J-K FlipFlop, S-R FlipFlop 등의 지식을 이용해서 데이터 전송회로, 직렬입력/병렬출력 회로를 설계해보고 ... 순차 조합 회로 중에서도 LATCH, D FlipFlop, J-K FlipFlop, S-R FlipFlop 등의 지식을 이용해서 데이터 전송회로, 직렬입력/병렬출력 회로 를 설계해보고 ... 레지스터 B : 4개의 [3:0]B D FlipFlop 으로 구성되어 있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    = 10 -> i32■ D-FlipFlop의 구성 방법 및 Design 방안D-FlipFlop은 데이터를 받아들이고 유지하기 위해 사용한다. ... D-FlipFlop은 2개의 latch로 구성되어 있다. ... D-FlipFlop9. ALU10. Demux+FF+ALU11. SRAM + Demux+FF+ALU12. 고찰13.
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • [부산대 어드벤처디자인] 10장 flip-flop 및 shift registor 예비보고서
    R-S 플립플롭2) D 플립플롭 D 플립플롭은 입력신호가 그대로 출력이 된다. 보통 데이터의 지연을 위해 사용하기 때 문에 딜레이 플립플롭이라고도 한다. ... Gate를 사용하여 S-R Flipflop을 만든다. (2) SR Flipflop을 사용하여 6비트 Shift Register를 만든다2. ... 실험목적실제로 Flipflop을 Gate로써 구성하여 그 동작 원리를 설명하고 Flipflop를 이용하여 Shift Register 을 구성하는 것이 이 실험의 목적이다 (1) NAND
    리포트 | 7페이지 | 2,000원 | 등록일 2024.03.15 | 수정일 2024.04.15
  • 부산대학교 어드벤쳐디자인 10장 결과보고서
    Gate를 사용하여 S-R Flipflop을 만든다.(2) S-R Flipflop을 사용하여 6비트 Shift Register를 만든다.2. ... Flipflop은 일반적으로 그 입력회로의 구성에 따라서 SR Flipflop, D Flipflop, T Flipflop, JK Flipflop 등으로 나뉘며, 이진 정보의 기억, ... 실험 이론Flipflop은 1과 0의 두 개의 안정된 출력값을 가지며, 이 두 출력값은 항상 상반된 상태에 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.11.13
  • SR Latch, D Flip Flop, T Flip Flop 결과레포트
    실험 제목 [SR Latch, D Flip Flop, T Flip Flop]2. 실험 결과-sr latch-d flip-flop-t flip-flop3. ... reset으로 상승 edge set이면 Q가 1이되고 reset이면 Q가 0이 된다. d flipflop은 결과값이 d 신호를 따라간다. edge clock에서 d의 상태에 따라 q가 ... 고찰이번 실험은 vivado을 이용해서 sr latch, d flipflop, t flipflop 의 코드를 짜고 시뮬레이션 결과를 확인하는 실험이었다. sr latch는 set과
    리포트 | 2페이지 | 2,000원 | 등록일 2022.08.22
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전압 제어 발진기 과제 7주차
    D flipflop, JK flipflop, T flipflop 등이 있다. ... Latch), 플립플롭(Flipflop)이 있다. ... -V _{C}가 큰 영역에서 비선형성을 갖는 이유는 무엇인가?Z _{c} = {1} over {jwC}이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.28
  • pipeline 8bit CLA 설계 프로젝트 A+ 자료
    구현⓵ D_FF_1bit . vhd1비트를 저장시켜주는 1bit D-FlipFlop이다.⓶ D_FF_2bit . vhd2비트를 저장시켜주는 1bit D-FlipFlop이다. ... 따라서 1bit와 2bit를 각각 저장시켜줄 수 있는 D-FlipFlop을 각각 만든다.⓷ PGU . vhd8bit의 A와 B를 2bit씩 나누어 PGU에 들어가게 된 다. ... 목적-PIPELINE을 이용하여 주어진 조건을 만족하는 PIPELINED 8bit Carry Lookahead Adder를 구현한다.3.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • [부산대학교][전기공학과][어드벤처디자인] 10장 Flip-flop 및 Shift register(10주차 결과보고서) A+
    어드벤처디자인 결과보고서Flip-flop 및 Shift register학과: 전기공학과학번:이름:실험 목적실제로 Flipflop을 Gate로써 구성하여 그 동작 원리를 설명하고 Flipflop를 ... 이것은 NAND 게이트를 이용하여 만든 D-플립플롭이다. 그 동작 상태를 점검하라.실험 결과그림을 참고하여 LS7400과 LS7404를 이용하여 회로를 구성하였다. ... *operation0001Store100110101Store101111000Hold10111101Store11111진리표를 이용하여 여기표를 그린다.QQ*AB00100101X110--
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.25
  • VHDL_3_RAM,ROM,JK Flip Flop, Register
    FlipFlop은 SR, D, JK, T FlipFlop등이 있다. rising edge에서 동작하는 JK FlipFlop의 회로도와 진리표는 다음과 같다.InputsOutputsCLKJKQQ ... FlipFlop은 1bit를 저장하므로 8비트 레지스터는 FlipFlop 8개가 필요하다. ... = 0J = 0, K = 1 -> Q = 0, Q_Bar = 14) 175ns, CLK = riging edge, PR = 0, CLR = 0J = 1, K = 1 -> Q = 1
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    Pspice simulation-d flipflop-jk flipflop ... 실험 제목 [D-latch and D Flip-Flop J-K Flip-Flop]2. ... 실험 목적(1) D latch and D flip-flop-study to construct D latch with NAND gates and inverter-study differences
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 홍익대학교 디지털논리실험및설계 8주차 예비보고서 A+
    상태가 되고 D Flipflop은 Set 상태가 됩니다. ... 반대의 경우도 마찬가지로 동작합니다.1.2 D Flip-flop의 동작에 대해 설명하시오.EN이 HIGH 일 때 입력 D의 상태가 바로 Latch의 상태였던 Gated D Latch와는 ... 다르게 D Flip-flop의 경우 D 입력은 클록 펄스의 트리거 에지에서만 입력이 출력 Q로 전달되기 때문 에 D가 HIGH인 경우 출력 Q는 클록 펄스의 트리거 에지에서 HIGH
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    이 카운터는 내부적으로 JK Flipflop을 이용하여 2분주, 5분주 회로로 나뉘어져 있습니다. 2분주에서는 JK Flipflop이 1개가 사용되고, 5분주에서는 JK Flipflop이 ... -0.250.2530010-0.50.540011-0.750.7550100-1.01.060101-1.251.2570110-1.51.580111-1.751.75순번D _{3}D _{2} ... D _{1}D _{0}Y _{1}Y _{2}91000-22101001-2.252.25111010-2.52.5121011-2.752.75*************1-3.253.25151110
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    병렬 연결된 일정 개수의 D-Filpflop에 입력을 인가하면 하나의 D-Flipflop마다 한 클록 신호의 주기를 가지고 출력에 딜레이가 발생하게 되며 D-Flipflop을 입력 ... 신호가 통과하는 동안 채터링이 발생하여 값이 변화하면 각각의 D-Flipflop에서 출력되는 결과값이 달라진다. ... 각각의 D-Flipflop에서 발생한 서로 다른 결과 값을 OR 연산하여 하나의 결과값으로 정의하면 진동현상이 발생한 시간동안의 변화하는 입력상태를 하나의 상태로 일정하게 유지시킬
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    따라서 S=R=1인 경우는 사용하지 않는다.이 외에도 D Latch도 존재한다.2)FlipFlopS-R 래치에서 클럭(Clock)이 포함된 형태로, 클럭값이 변할때만, 작동하는 회로로 ... 아래그림은 S-R FlipFlop의 회로도 이며, Rising edge 방식을 기준으로 만든 진리표이다. ... Latch와 flipflop의 차이점을 알아보고, register에 대한 개념을 정립한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 디지털회로 보고서
    또한 하강카운터가 되어야 하므로 D/U에 High, 1을 input으로넣어주었다. ... 신호등을 구현하는 부분에서 안정성이 그 어떤요소보다 중요하다고 생각했기 때문에 좀 더 정확한 슈미트-트리거 발진기를 이용하였다.- FlipFlop시뮬레이션을 할 때 1ms씩 count해야 ... 하므로 FlipFlop을 이용하였다.1ms는 1/1000초이고, 1/1024초와 비슷한 값이다.하나의 플립플롭은 주파수를 반으로 감소시킨다.1024를 나누기 위해 10개의 JK FlipFlop
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
  • 6주차 결과보고서- 디지털 시스템 설계 및 실험 결과보고서
    clk = ~clk;endendmodule여기서 Modelsim 시뮬레이션을 실패하였는데 이에 대해서 고민하여 본 결과 FF의 초기값을 결정하기위해 clr 이라는 변수를 사용하여 D-FF에 ... 또한 Flipflop을 이용하여 sequential circuit을 만들고 직병렬 연결을 하여 shift register와 register를 만들어 보았다. ... D Flipflopmodule Dflipflop(clk,D,Q,NQ);input clk, D;output Q,NQ;wire Q1,Q2,NQ1,NQ2,w;SRlatch sr1(NQ2,
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대