• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,488)
  • 리포트(2,339)
  • 시험자료(94)
  • 논문(23)
  • 자기소개서(14)
  • 방송통신대(14)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 1-20 / 2,488건

  • Decoding Chinese Bilateral Investment Treaties
    이준국제법연구원 Zeyu Huang
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.03
  • PCM Decoding 이론 레포트
    PCM Decoding 예비 레포트PCM Decoding(복호화) 란 아날로그신호가 표본화, 양자화, 부호화 과정을 거쳐서 디지털 신호가 된 뒤 그 신호를 다시 아날로그 신호로 바꿔주는
    리포트 | 2페이지 | 1,000원 | 등록일 2020.10.05
  • 한양대 Decoder & Encoder
    실험 목적디코더 (Decoder)와 엔코더 (Encoder)의 동작 원리를 이해할 수 있고 Decoding과 Encoding의 코드 변환 동작에 대해 실험하는 목적을 지니고 있다. ... 입력은 무조건 1개의 data에 대해서만 encoding을 하기에 여러 개의 data가 입력되었을 때는 가장 높은 우선 순위를 정해 2 진법으로 전환시켜 출력시킨다는 특징이 있다.Decoder
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 펄스 부호 복조(PCM Decoding)
    아날로그 입력 신호를 PCM 신호로 인코딩해주는 모듈4bit linear, 7bit linear, 4bit compand 신호 선택- 실습에서는 신호를 변조하기위해 사용4) PCM Decoder
    리포트 | 8페이지 | 1,000원 | 등록일 2022.05.15
  • 7 segment Decoder회로설계
    아날로그 및 디지털회로 설계실습예비 REPORT10. 7-segment / Decoder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 7-segment와 Decoder를 ... 설계실습 계획서10-3-1) 7-Segment/Decoder 진리표아래 7-Segment/Decoder 진리표를 작성한다.InputOutputdisplayABCDabcdefg0000 ... 서론7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. 실험결과10-3.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 한양대 Decoder & 7-Segment
    실험 목적7-Segment와 74LS47 (BCD to 7-Segment Decoder)의 정의를 이해한 후, Pin map과 Truth table을 이용한 결과에 LED BAR를
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • [전자회로] Pspice (Decoder&Encoder) 실험 레포트
    원리◆ Decoder- 이진수로 표시된 입력 코드를 어떠한 상태 또는 명령을 나타내는가를 해독하는 장치.- 다음 회로는 2선-4선 decoder 회로로서 2진수 입력 A와 B의 4가지 ... (decoder의 반대 기능)- 다음 회로는 4개의 입력을 이진수 출력으로 변환하는 회로이다.2. GATE3. 결과4. 고찰?
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.30
  • 실습 10. 7-segment_Decoder 회로 설계
    : 보고서 제출날짜:)요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜 ... 또, 7-segment의 타입을 구분하여 타입별 특징을 이해할 수 있었고, Decoder 진리표를 작성함과 동시에 Decoder의 입력에 대응하는 출력값의 전압을 측정하여 결과를 확인하였다
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • Mux&Decoder2차레포트 디지털회로설계
    Schematic , VHDL(5) FND Decoder VHDL을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 ... Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3) 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder ... 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3) 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • [논리회로실험] Decoder & Encoder 예비보고서
    Decoder & Encoder1. 실험목적1) 디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... 실험이론1) Decoding- n비트의 2진코드를2 ^{n}개의 서로 다른 정보로 바꾸어 주는 조합 논리회로- 입력 n개, 출력 m개인 디코더를 nXm 디코더라고 하면, n개의 입력 ... 1}D _{0}0000*************000100100000010001100001000100000100001010010000011001000000111100000002x4 Decoder
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment decoder
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 1
    디지털회로실험및설계 예비 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1.
    리포트 | 12페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    디지털회로실험및설계 결과 보고서 #8( Encoder, Decoder 실험 )과 목담당교수제 출 일학 번이 름1. 회로도2.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • [예비보고서] 10.7-segment, Decoder 회로설계
    설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... 예비 보고서설계실습 10. 7-segment / Decoder 회로 설계10-3. ... (답안)이상의 74LS47 Decoder의 핀 정보에 따르면, A0~A3는 BCD inputs, a~g는 Segment Outputs(Active Low)에 해당한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    Decoder & Encoder1. ... 일치하는 실험결과를 보았다.실험 2의 경우 BCD to Decimal Decoder로 같은 decoder지만 이진수를 입력값에 넣었을 때 십진수값으로 출력해주는 역할을 한다. ... Decoder의 회로를 구성하고 동작을 확인해보는 실험이었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    즉 block coding 전과 decoding후가 일치하므로 decoding 과정이 온전히 이루어졌음을 알 수 있다.[0 1 0 0 | 1 0 0] Coding & Decoding발생되는 ... 디지털통신2 결과보고서-4차-[Block Coding and Decoding]제출일학과조학번수업이름목 차1. ... 모듈의 설정에 따라 Parity 혹은 Hamming 인코딩이 이루어진다.인코딩된 결과 값을 DECODER에 입력해준다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 예비보고서4_디지털통신2_Block Coding and Decoding
    디지털통신2 예비보고서-4차-[Block Coding and Decoding]제출일학과과목학번수업이름Block Coding and Decoding의미블록 코드는 고정된 코드 길이를
    리포트 | 3페이지 | 2,000원 | 등록일 2021.09.23
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    실험 이론(1)Decoder디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른 정보로 바꿔 주는 조합 회로를 말한다. ... 실험 목적부호기(encoder)와 복호기(decoder)의 동작 원리 및 특성을 살펴본다.2. ... 디지털 논리회로 설계 및 실험예비보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    응용실험(3)은 BCD to 7-segment decoder 7447의 ���눙穗�을 알아보는 실험이었다. ... 디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 7447의 ���눙穗�을 테스트 넣으면 10진법까지만 표기되므로 이상한 값이 출력된다.사진 12. 4.2.4 실험 - BCD to 7-segment decoder 7447사진 13
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:21 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기