• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(66)
  • 리포트(59)
  • 논문(2)
  • 자기소개서(2)
  • 시험자료(2)
  • 이력서(1)

"Digital signal processor" 검색결과 1-20 / 66건

  • 초음파 SPI 원리 초음파 물리2
    Memory/Image Processor/Scan Converter (if digital) [★★]1) Storage for the signals re bit can represent ... Receiver/Signal Processor1) Receives EVP signals from Transducer- EVP (electric) = analog part2) Alters ... is possible)* Digital signal- have discrete values that have fixed steps between values- bits determine
    리포트 | 7페이지 | 2,500원 | 등록일 2023.06.13
  • 시스템프로그래밍 ) 임베디드 시스템의 특징에 대해 정리하고 최근 임베디드 시스템이 많이 활용되고 있는 분야에 대한 사례를 조사하여 요약 정리하시오.
    이 코어는 일반적으로 Micro-controller나 Digital signal processor 중 하나이다. ... 전체 장치의 일부로 내장되어 있다는 의미에서 내장형 시스템, 즉, embedded system 이란 이름이 붙여졌으며 micro-controller나 digital signal processor ... 외형적으로 Embedded system의 범위는 디지털 시계, MP3 재생장치, 공장 제어장치, 교통 신호등 등 간단히 한 개의 마이컴만 사용한 것부터 시작하여 매우 복잡한 네트워크를
    리포트 | 6페이지 | 5,000원 | 등록일 2023.01.20
  • 정보처리기사 실기 전산영어(2017~2019년 기출문제) 요약집
    informing a program that an unexpected event has occurred, If receiving this signal, the processor responds ... 암호화하거나 전자 워터 마크 또는 이와 유사한 방법으로 콘텐츠를 표시하여 컨텐츠를 자유롭게 배포 할 수 없도록 함.19년 1회Interrupt – This term refers to a signal ... copyrights, (DRM) system it can only be accessed by authorized users or marking the content with a digital
    시험자료 | 10페이지 | 2,000원 | 등록일 2019.09.29 | 수정일 2019.10.12
  • DB 하이텍 양산개발 직무 22년 하반기 면접 공부 자료(반도체 면접 자료)
    신호로 변화하는 아날로그/디지털 회로, 보정과 영상처리를 담당하는 Image Signal Processor(ISP) 등으로 구성된다.동작 과정은 다음과 같다.렌즈를 통과한 빛이 컬러필터를 ... 벽면보다는 양이온의 공격으로 결합력이 약화된 바닥 면을 구성하는 분자들이 더 쉽게 라디칼에 포획돼 새로운 화합물로 변화면서 하방 식각이 주류가 됨.2) 증착 공정절연막과 파워 및 시그널 ... 생성된 전자-정공 쌍의 전위는 AD 변환기를 거쳐 디지털 데이터로 변환된다.※ CMOS(Complementary metal-oxide-semiconductor)Cotocurrent의
    자기소개서 | 12페이지 | 5,000원 | 등록일 2024.03.28
  • 디스플레이공학 시험정리
    Motion Blur (화면끌림현상)LCD에 응답속도가 느려서 생기는게 아니라 CRT와 같이 Pulse signal이 들어가는게 아니라 Line Signal이 들어가기 때문에 화면끌림현상이 ... Light Processor)pixel size에 아주 작은 micro mirror를 만들어 픽셀별로 반사각도를 조절하여 영상을 만드는 방식DLP장점높은밝기, MEMS Technology단점고가장점 ... mobility가 빠름단점저개구율, 저해상도LCOS(Liquid Crystal on silicon)투과형은 사용할 수 없고 반사형으로만 구현가능LCOS장점고집적, 고해상도, 높은개구율DLP(Digital
    시험자료 | 11페이지 | 4,500원 | 등록일 2020.12.24 | 수정일 2024.08.19
  • 응용전자공학2 Function Generator, Oscilloscope, and Frequency Counter 실험 예비 보고서
    요즘에는 Digital Storage Oscilloscope(DSO)를 주로 사용하는데 이것의 내부에는 digital signal processor, AD converter 등이 있어서 ... 디지털 oscilloscope가 등장하기 전에는 Cathode-Ray Oscilloscope(CRO)를 사용했는데 이것은 전자총에서 나오는 전자빔을 활용한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2018.10.23
  • PLC Overview (영문발표자료)
    conversion and isolation between the internal logic-level signals inside the PLC and the field's high ... - Less Provides the voltage needed to run the primary PLC components I/O MODULES - Wiring Provides signal ... level signal.Major Components of a Common PLCPROCESSOR - Less Provides intelligence to command and govern
    리포트 | 28페이지 | 1,000원 | 등록일 2017.04.05
  • 연세대학교 기술창조와 특허 선행기술조사보고서 A+
    imaging signal; and providing said haptic signal to said flexible actuator (224, 304, 308, 402, 510, ... ) is in a flexible position; initiating a haptic signal in response to said input signal and said partial ... in response to said contact and sending said input signal to a processing unit; characterized by the
    리포트 | 11페이지 | 2,000원 | 등록일 2016.12.06
  • 명암도, 지역 분산 및 지역 명암도 차이를 이용한 실시간 대두 선별
    한국화상학회 김태호, 이철희, 도용태
    논문 | 12페이지 | 4,300원 | 등록일 2016.04.02 | 수정일 2024.01.29
  • 통신, dsp,통신공학 전공 & PT 면접 대비 정리 자료 (전자공학부,전자통신)
    ) Digital filter의 frequency response는 프로그램 가능한 processor를 사용하여 자동적으로 조정이 가능하다.4) 하나 이상의 signal을 오직 ampling ... Digital filter는 digital signal에 filtering algorithm을 적용하기 위한 hardware 또는software routine을 말한다.Digital ... quality를 향상하거나 ( 예를 들면 노이즈의 제거 또는 감소), signal 로부터의 정보를 알아내거나 , 합쳐진 signal을 다시 개개의 signal로 나누기도 한다.
    자기소개서 | 10페이지 | 3,000원 | 등록일 2014.08.27 | 수정일 2014.09.12
  • 도로 소음 저감을 위한 능동소음제어 시스템의 개발 및 기초실험
    한국도로학회 문학룡, 강원평, 임유진
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 자동차공학_능동현현가장치에 대하여
    signal processor) 는 각 센서로부터 ADC(Analog to Digital Convertor) 를 통해 신호를 입력 받고 , 유압실린더에 요구되는 현가력을 산출 한 ... 후 DAC(Digital to Analog Converter) 를 거쳐 압력 제어 밸브 구동용 전류 증폭기로 전압 신호를 출력하고 DSP 와 LAPTOP PC 는 신호의 입출력 상태를 ... 높여주고 후륜용 유압 실린더의 압력은 낮춰줌으- 보조 신호로는 차속 신호 , 브레이크 작동 감지 신호 , 조향 핸들 각도 신호등을 활용 - 현가 제어 알고리즘을 수행하는 DSP(digital
    리포트 | 22페이지 | 3,500원 | 등록일 2017.11.23 | 수정일 2018.04.03
  • MIS - KENNETH LAUDON CH7
    ∙ Connection medium: for linking network componentscan be a telephone wire, coaxial cable, or radio signal ... hubcan filter and forward data to a specified destination on the network∙ Router: a communications processor ... and information technology innovation→ telephone and computer networks are converging into a single digital
    리포트 | 3페이지 | 1,000원 | 등록일 2014.12.25
  • 전기전자 응용실험 fina 레포트 (A+ 받은 자료)
    Depending on the signal received, the wheel of the motor moves the body of line tracer because of the ... And also, we can control the speed of the motor by PWM by give PWM signal to enable pin.PWM is the method ... 0x03: → LED state :on=1;PORT1=Lgo; → Motor is ordet the reflected light from the white floor and the signals
    리포트 | 22페이지 | 2,000원 | 등록일 2013.10.26
  • [디지털시스템실험(Verilog)] Memory Top & Writeback 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Memory ... write2_signal을 정의한다. write1_signal은 mw_ctrl_word[14:13]이 되고, write2_signa은 mw_ctrl_word의 12bit과 11bit ... register file에 writeback하기 위한 신호를 생성하는 모듈이다.역시 각 input과 output은 참고 자료에 설명되어 있으므로 생략하도록 하겠다.먼저 wire write1_signal
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 전기자동차 배터리 충전 기술
    Signal Processor)가 필요하다.AC/DC, DC/DC에서 GATE 전류가 제어되면서 Transistor가 turn on 된다. ... 컨버터로 그리고 ModulatingControl Signal 이 직선이면 인버터로 동작한다.삼상 인버터 변환시 각상의 위상차가 120도가 되도록 제어시그널을 제어하여 정확히 균형을 ... Width Modulation) 전력변환에서는 Control Signal(Modulating Signal)의 모양대로 output 출력파형이 결정되며, Modulating Signalsign이면
    리포트 | 7페이지 | 1,500원 | 등록일 2016.07.03
  • [컴퓨터음악과 미디(MIDI), 멀티미디어
    CV 에 덧붙여서 건반은 게이트 신호(gate signal)를 전송했다. ... 오류보고 사용안함Processor스캐쥴링은 반드시 Back 후에 아래와 같이 초기 악보 준비 되었다. ... 따라서 아날로그적인 제어는 한계에 달했으며 이러한 디지털 기기에 부합하는 새로운 기준이 필요하게 되었다.
    리포트 | 38페이지 | 2,500원 | 등록일 2013.08.02
  • 결과3
    INL represents how much is the output signal deviates from the ideal case. ... The error is sometimes considered as an additional random signal called quantization noise. ... Analog-to-Digital Converters (ADC)과목명.전기전자응용실험담 당.제출일.성 명.1.
    리포트 | 6페이지 | 1,500원 | 등록일 2011.06.01
  • Cellular Phone Systems describing each of the four generations
    digital and the incoming as well.The digital signal processor is process signal-manipulation calculations ... So the analog signal used more capacity than digital signal and it gives result of switch to the second ... signal.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.05.12
  • MRI 용어의 쉬운 해설
    표면검출기는 관심영역 밖의 감도가 저하되는 코일로서 검출기로부터 인접한 영역은 감도가 좋고 떨어진 곳은 무신호(signal void)에 가까운 영상으로 처리된다. ... loss (signal void) :빠른 흐름신호 유실 효과Homogeneity coefficient :주자장의 균일성의 정도를 나타내는 지표Hydrogen density(1H) ... : 수소밀도(프로톤 밀도)IImage reconstruction time :영상재구성시간으로서 signal 획득 후 영상이 화면에 나타나기까지의 시간Inhomogeneity : 균일성의
    리포트 | 21페이지 | 1,500원 | 등록일 2011.12.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대