• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(110)
  • 리포트(99)
  • 시험자료(6)
  • 서식(5)

"EXCESS3 CODE" 검색결과 1-20 / 110건

  • BCD to Excess-3 Code Conveter
    BCD to Excess-3 Code ConveterIntroductionVHDL code를 이해하고 BCD to Excess3 code converter logic을 VHDL code화 ... 그리하여 나온 출력 값을 아래와 같다.< Assignment 1 CODE>entity bcd2excess3 isPort ( bcd : in STD_LOGIC_VECTOR (3 downto ... excess3 excess3 excess3 excess3 '0');SIGNAL excess3 : std_logic_vector(3 downto 0);BEGINuut: bcd2excess3
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • BCD to EXCESS-3 CODE CONVERTER
    (BCD)begincase(BCD)iswhen "0000" => excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 ... excess3 excess3 '0');--OutputsSIGNAL EXCESS3 : std_logic_vector(3 downto 0);BEGINuut: BCDTOEXCESS3 ... 첫번째 과제에서는 BCD코드를 입력하면 설정된 EXCESS-3 코드가 출력되도록 하며 두번째 과제에서는 클럭값을 넣고 밀리형식을 따라 EXCESS-3코드의 값을 받아온다.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    ---디지털 회로 리포트---(1) Gray Code그레이 코드는 현 상태에서 다음 상태로 코드의 그룹들이 변화할 때 단지 하나의 비트만이 변화되는 최소변화코드(minimum-change ... (b) 3 초과 코드의 가산법1. 2진 가산 규칙을 이용하여 3 초과 수를 더한다.2. 계산 결과를 carry가 생기지 않으면 그 결과에서 3(10진수 3)을 뺀다.3. ... 계산 결과에 carry가 생기는 경우는 3을 더하고, carry에 의해 새로 생긴 열에도3(10진수) = 0011(2진수)을 더한다.예를 들어, 35 + 24에서 각 10진수를 3초과
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral ... " 3) Excess-3 Serial Code Converter Dataflow Source Results HYPERLINK \l "discussion" 3. ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter(1) BCD to Excess-3 Code 변환기란?10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[BCD to Excess-3 Code Converter]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" ... 0);5: excess3 : out std_logic_vector(3 downto0) );6: end bcd2excess3;7: architecture behavioral of bcd2excess3
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 시립대 전전설2 Velilog 결과리포트 5주차
    마지막으로 응용과제인 BCD to Excess - 3 Code Convertor를 분석해보자. ... -3 Code ( 3초과코드 )부호화 10진법 (수 표시법의 일종으로, 10진수를 부호화하여 표시하는 것. ... : 1Q: 1S : 10C : 1Q: 1S : 11D : 1Q: 1S1S2Q00A01B10C11D4:1 MUX의 진리표와 똑같이 LED 값이 출력됨을 볼 수 있었다.BCD to Excess3
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    수 있다.)=> UCF(PIN 설정)● 아래 표를 참조하여 BCD to Excess-3 Code Convertor를 설계하시오.입력 A : BUS Switch출력 Q : LED 1~ ... 8배경설명아래보는거처럼 4bit 기준으로 K MAP을 이용해서 식을 도출해내자K-MAPOUTPUT=> 4bit converter(bcd to excess 3) module=> 8bit로 ... 4입력 S : BUS Switch 1, 2출력 Q : LED 1=> MODULE=> VERILOG CODE=>SIMULATION(alway문 사이에서 300초 단위로 반복됨을 알
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    'D3' + D0'D1'D2'D3논리 회로도(3) 8421(BCD, Binary Coded Decimal, 2진화 10진수) codeExcess-3 code- 8421(BCD) ... 력 - Excess 3 codeDA _{3}A _{2}A _{1}A _{0}000111010020101301104011151000실험4)실험 과정: 실험 1과 같은 실험 준비과정을 ... REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 심대한
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 시립대_전전설2_Velilog_예비리포트_7주차
    Serial I/O BCD to Excess-3 Code Converter5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 이에 따라 Excess라는 Output에 Excess-3코드로 변환된 값이 제대로 나오게 된다. ... 코드 분석(2) 핀 설정(3) 테스트 벤치 작성 후 컴파일(4) 시뮬레이션3) 직렬 입력 / 병렬 출력 BCD to Excess-3 code converter(1) 회로 코드, 핀
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 사회과학 ) Caning in Singapore Is it truly beneficial
    Anti-Caning Perspective Conclusion References3 What is Caning? ... describes his barbaric punishment, Mail, 2020 Souchou Yao, Singapore, the State and the culture of excess ... I believe [caning] would work here if we allowed it” Anti “We continue to believe that caning is an excessive
    리포트 | 9페이지 | 5,000원 | 등록일 2021.08.10
  • 논리회로실험 예비보고서5
    -Excess-3 코드 : Excess-3코드는 BCD 코드보다 3만큼 더 큰 값으로 BCD 코드를 Excess-3으로 바꾸는 방법은 BCD코드에 3을 더하면 된다. (10진수: 3 ... 아래표를 통해 10진수를 Excess-3 코드로 바꿔주는 인코더의 기능을 확인할 수 있다. ... -실험 3) 10진/ Excess-3 코드 인코더① 74HC04(inverter)와 74HC08(and gate)를 이용하여 위와 같은 회로를 구성한다.② 아래표의 왼쪽 부분과 같은
    리포트 | 10페이지 | 1,500원 | 등록일 2020.09.18
  • 시립대 전전설2 Velilog 예비리포트 7주차
    Serial I/O BCD to Excess-3 Code Converter5, 6주차 실험에서 진행하였던 BCD to Excess-3 Converter의 입력과 출력 모두를 직렬로 ... 이를 진행하기 위해서는 Mealy Machine이나 Moore Machine을 사용해야 한다.저번 실험에서 만들었던 것과 같이 BCD to Excess-3 Converter를 만드는 ... 데이터의 입력은 State를 바꾸는 데에만 사용되고, 결과에 영향을 미치지 않는다3.
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    Excess-3 회로는 십진수 수에 3을 더한 수를 이진수로 표현한다. ... 10진 / Excess-3 코드문제회로설계한 회로- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 실험결과S0=1S1=1S2=1S3=1S4=1S5=1S _{5} ... REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 심대한
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서5
    10진 / Excess-3 코드’를 구성한 회로의 결과로써, 10진수를 입력으로 받아들여 2진수인 Excess-3 코드로 변환해주는 조합논리회로이다. ... 10진 / Excess-3 코드결선도회로D=0D=1D=2D=3입 력출 력DA _{3}A _{2}A _{1}A _{0}000111010020101301104011151000- 74HC20과 ... REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 심대한
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    회로 결선도- 실험1(2x4 Decoder), 실험2(BCD to Decimal Decoder)- 실험3(Encoder Excess-3 code), 실험4(8x3 Priority Encoder ... / Excess-3)1) 다음 회로도와 같이 74HC04, 74HC20을 준비하여 Bread Board에 연결한다.2) 입력 SW0~SW5를 각각의 IC 입력 핀에 연결한다.3) ... 실험5 예비보고서IEEE Code of Ethics(출처: http://www.ieee.org)We, the members of the IEEE, in recognition of the
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • [논리회로실험] Decoder & Encoder 예비보고서
    ) Excess-3코드 (3 초과 부호)- 이진화 십진법의 일종- 십진수의 각 자리를 그 숫자에 3을 더한 4비트 이진수로 표기하는 방법- 비트를 반전 하는 것만으로도 9의 보수를 ... 10진 / Excess-3 코드- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 예상결과S _{5}S_{4}S_{3}S_{2}S_{1}S_{0}D _{4}D _ ... REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 심대한
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 항공운송 - 항공화물운송장과 국제협약
    ) and Requested Routing출발지 공항과 운송구간을 기재한다. 3-Letter City Code의 사용도 가능하다.10) Accounting Information특별히 ... rate)S Class Rate(more than normal rate)U Pivot weight and applicable pivot weight chargeE Weight in excess ... 이 때 'A' 또는'C'의 표시는 비용 Code와 금액 사이에 기재한다.
    리포트 | 14페이지 | 2,500원 | 등록일 2019.12.12
  • [논리회로실험] 가산기&감산기 예비보고서
    10진 / Excess-3 코드- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 예상결과I _{0}I _{1}I _{2}I _{3}I _{4}I _{5}D _{ ... REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류의 삶에 끼치는 심대한 ... 'D_2=(S_0'S_3'S_4')'D_3=(S _{1}'S _{2}'S_3'S_4')'D_4=S_54) 실험 4 : 8X3 Priority Encoder- 주어진 회로를 구성한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • 사회복지대학원 레포트, 비영리조직, 지역사회재단 사례
    지역사회재단-특별한 형태의 Funder1) 지역사회재단은 미국의 IRC(Internal Revenue Code)에서 공공자선단체로 분류됨.-> 매년 잉여금에 대한 세금을 내지 않으며 ... appreciated property)총소득의 20%조정된 총소득의 30%행정기관의 요구소비세 지불투자금액의 1~2%없음배당금 지불자산의 5%없음사적 사업 접근의 제한(Limits on excess ... 재단의 이사회나 기금배분 위원회에 지역의 대표들을 포함시킴.3) 직면하게 된 도전과제(challenge)a.
    리포트 | 9페이지 | 4,000원 | 등록일 2024.04.29
  • [해양수산부] 외국선박 통제점검보고서
    This inspection report cannot be construed as a seaworthiness certificate in excess of the certificates ... re-inspection is made5) To be completed in the event of a detention.6) Applicable Deficiency Action Codes ... IMOrecognized organization, if applicable1 name of reporting authority REPUBLIC OF KOREA 2 name of ship3
    서식 | 2페이지 | 무료 | 등록일 2023.03.13
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대