• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(53)
  • 리포트(52)
  • 시험자료(1)

"FND 디스플레이" 검색결과 1-20 / 53건

  • [마이크로컨트롤러]7 Segment LED 제어하기
    2 nd FND 3 rd FND 4 th FND{nameOfApplication=Show} ... 단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] I/O 포트를 이용한 7 segment LED Display 4 a d g b c f e h a b c ... 1 1 0x7f 9 0 1 1 0 1 1 1 1 0x6f마이크로컨트롤러 1 D 포트에 연결되어 있는 7 Segment LED 에 0- 1- 2 … - 9- 0- 1… 무한반복으로 디스플레이
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • 임베디드 시스템 중간고사 시험
    FND에 숫자 1 켜기#include "stm32f4xx_hal.h"// 7세그먼트 디스플레이에 숫자를 표시하는 맵핑 테이블// 숫자 0~9까지에 대한 7세그먼트 표시 패턴을 정의합니다.const ... 1번 ,FND2번에 값 11들어오게 코드 작성.11.로터리 스위치와 딥 스위치에 대해 간략히 설명하시오.위 11개를 외워간다면 중간고사는 다 맞으실 겁니다.위 질문들 GPT로 자기 ... 값 LED 표시5.홀수,짝수번째 번갈아 LED켜기 /끄기6.홀수 번째 LED 켜기/끄기7.짝수 번째 LED 켜기/끄기8.8개의 LED 켜기/끄기9.1개의 LED 켜기 /끄기10.FND
    시험자료 | 7페이지 | 22,000원 | 등록일 2024.02.07 | 수정일 2024.04.21
  • ATmega128을 이용한 4-세그먼트 0-99출력 소스
    연결하고 PD의 하위 4비트와 7-segment의 4개의 common 단자를 각각 연결하여라. 4개의 7-segment의 common 단자를 각각 제어하여 숫자 0에서 99까지 디스플레이하는 ... 카운터를 설계하시오(단, 주기는 100초로 할 것)char FND_DATA[10] ={0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x07, 0x7F ... 코드 첨부#define F_CPU 16000000UL //16M 주파수#include //내부 헤더파일 사용#include //딜레이 헤더파일을 include 시킨다.char FND_DATA
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • [논리회로실험] 실험8. Counter 결과보고서
    실험 3-2는 이 실험값을 74HC47과 연결하고 FND 507로 출력해줌으로써 FND 507의 a~g까지에 해당하는 디스플레이에 점등이 됨으로써 이진수의 값을 십진수로 표현해주는 ... a 연결, 74HC47의 12번과 FND507 b 연결, 74HC47의 11번과 FND507 c 연결, 74HC47의 10번과 FND507 d 연결, 74HC47의 9번과 FND507 ... e 연결, 74HC47의 15번과 FND507 f 연결, 74HC47의 14번과 FND507 g 연결7 세그먼트로 결과 확인[ 실험결과 ]실험 3-1)최하위비트인 A부터 클럭이 발생할
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • [마이크로컨트롤러]외부인터럽트
    (3); //PG2=0, 3 rd FND PORTG=0b00000111; PORTC=digit[t_10ms]; _ delay_ms (3); //PG3=0, 4 th FND } void ... PA6 PA5 PA4 PA3 PA2 PA1 PA0외부 인터럽트 0 (INT0) 핀의 스위치를 누를 때 마다 C 포트에 연결되어 있는 7 Segment LED 에 스위치를 누른 횟수를 디스플레이 ... 0xff; DDRD=0b11111100; EIMSK= 0b00000011; EICRA=0b00001111; } void display(void) //7 Segment LED Array 디스플레이
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.10
  • 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    더 많은 양의 문자와 숫자를 표시 가능한 출력 디스플레이 장치이다. ... 별도의 동장 설정이 필요하고 데이터도 적절한 타이밍에 맞추어서 전달해야 하는데, 이 장치에는 액정에 디스플레이 하기 위한 별도의 컨트롤러가 있기 때문에 이 역시도 제어해주는 과정이 ... HBE Combo-2 SE의 입출력 장치와 그 종류 및 특성FND(Flexible Numeric Display) : 숫자 또는 문자를 출력하기 위해 발광 다이오드 소자를 여러 개 실장하여
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 광전 소자의 특성 실험 결과레포트
    1대(3) 브레드보드(4) LED 3개 (TIL221, 222 또는 LG53RD, LG53GD, 혹은 적색, 녹색의 등가 LED(5) 1W : 270OMEGA(6) 7 세그먼트 디스플레이 ... 반대로 negative로 되면 왼쪽의 녹색 led가 순방향으로 바이어스 되고 on상태로 된다.○ 7 세그먼트 LED 디스플레이LED 사용의 가장 대표적인 분야는 다중 세그먼트 표시기로 ... ):FND의 각 디지트(a.b.c.d.e.f.g.)가 H(양)일때 동작되는 것실험에서 사용한 세그먼트는 공통 애노드 타입이므로 음(-)일때 동작하므로 각 디지트(a.b.c.d.e.f.g
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.07 | 수정일 2021.12.01
  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트
    7개의 획으로 숫자나 문자를 나타낼 수 있는 표시장치로 FND라고도 불립니다.8개의 LED로 구성되어 있으며 각각의 LED는 a,b,c,d,e,f,g,dp로 명시되어 있습니다.- 실습에 ... 줌으로써 숫자를 나타낼 수 있음.2.실험 방법- 7 세그먼트의 이용 및 특징대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 진공관, 액정 디스플레이
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.25
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    BCD표현의 주 장점은 단순한 숫자 중심 디스플레이에 수치 정보가 표시될 때 편리한 형식을 제공해 준다는 것이다. ... 이 출력은 ABCD(2)가 디지털 출력기인 FND507에 표시되게 한다. 따라서 이진법 신호가 디지털 신호로 표시되는 것을 볼 수 있을 것이다. ... 실험을 통해 확인한 결과, 이를 잘 따라서 표시되는 것을 볼 수 있었다.디코더이 실험에서는 디코더로 작동하는 7447과 그를 표시하는 FND 507을 이용하여 디코더의 작동에 대해서
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 논리회로실험 예비보고서8
    FND 507의 출력결과를 확인한다. ... .·7-segment7개의 LED로 숫자를 디스플레이에 표시하는 장치로 0부터 9까지의 2진값을 7-segment에 숫자로 표현할 수 있도록 변환한다. cathode type일 경우 ... 74HC08 : 2 input AND gate>74HC90 : Decade and Binary Counter>SN7447A : BCD-to-Seven-Segment Decoder>FND
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 전기및디지털회로실험 실험8 예비보고서
    대부분의 7세그먼트 표시 장치 발광 다이오드(LED)로 각 획을 표시하지만 음극 방전관이나 진공관, 액정 디스플레이(LCD), 그리고 기계적인 표시 등이 사용되는 경우도 있다. ... 실험기기테스터 / 직류전원장치 / 오실로스코프 / 만능기판 / 만능기판용 전선 / 스트리퍼 / IC 74148 1개, 74147 1개, 7447 1개, 7세그먼트 LED FND500
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • ATmega128을 이용한 전자피아노(메트로놈, 자동노래재생 기능 추가)
    또는 액정 표시장치)는 디스플레이 장치의 하나이며 사용하는 ATmega128 모듈에 호환되는 1602 LCD 모듈을 사용했다. ... 알림 장치이며 켜지기 함으로써 전원이 들어왔는지 확인하는 용도와 메트로놈의 부저에서 삐 소리가 날 때 LED도 깜빡거리게 하는 용도로 사용된다.⑦ 1602 LCD 모듈LCD(액정 디스플레이 ... *((BYTE *)0x9000) // FND data#define DAC_COMMAND *((BYTE *)0xA000) // DA Converter data#define CS0 *
    리포트 | 33페이지 | 3,000원 | 등록일 2020.01.14 | 수정일 2023.08.24
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (예비) FND 제어
    7-Segment라 불리는 장치는 총 7개의 LED 영역을 이용하여 10진수 숫자를 표현할 수 있는 디스플레이 장치이다. ... 2. fnd_mm.c 작성하기 5.2절/6.5.1절 참고/*fnd_mm.c: FND Counter Program using mmap(), Hybus, ... FND 제어의 이론적 배경과 구조 이해하기 전구나 LED에서 나오는 빛을 잘 이용하면 특정 문자를 표현할 수 있는 것과 같이 FND(Flexible Numeric Display) 혹은
    리포트 | 9페이지 | 1,000원 | 등록일 2018.07.05
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) FND 제어
    FND는 총 7개의 LED 영역을 이용하여 10진수 숫자, 혹은 영문자 등을 표현할 수 있는 디스플레이 장치이며 전광판이나 진행 상태, 시간을 숫자로 표시할 때 유용하게 활용된다.FND의 ... 이번 실험은 7-Segment라고도 불리는 FND 제어에 대해 알아보는 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.07.05
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    입력으로는 0~9의 값 2개를 받고 합을 구해서 BCD로 변환시켜 7-세그먼트 디스플레이에 출력시켰다. ... 연산 결과가 두자리의 16진수인데, hex2를 FND1Val2에 출력하고 hex1 값을 FND1Val1에 출력하도록 한다.119~128행은 FND1Val1과 FND1Val2 레지스터에 ... clk100Hz에 반대되는 신호를 할당하고 mm의 초기화 동작을 반복함으로써 clk100Hz 신호가 100Hz의 클럭이 되도록 한다.55~117행은 두 자리로 분리된 연산 결과를 FND
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 디스플레이공학 Vacuum Fluorescent Display (VFD) 발표
    단점 대용량 디스플레이 표시가 힘들다 . 해상도를 높이기 힘들다 . 대형화와 박형 , 경량화의 양립이 힘들다 . ... 5 Vacuum Fluorescent Display Vacuum 진공 Fluorescent 형 광 Display 디스플레이 Filament 에서 방출되는 전자를 GRID 전극과 ANODE ... 전극을 제어하여 ANODE 상의 형광체에 충돌시켜 발광시키는 자발광소자를 이용한 디스플레이이다 .VFD 의 원리 6 Vacuum Fluorescent Display 필라멘트 (Filament
    리포트 | 16페이지 | 3,000원 | 등록일 2017.05.18
  • 마이크로프로세서 설계 및 실습 타이머 스탑워치 구현 및 설명
    .○.설계 목록- 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 만든다.- FND 3,2번은 초단위를 00~99초까지 디스플레이- FND 1,0번은 1/100초단위를 ... 00~99까지 디스플레이- FND2번의 dot는 매 0.5초마다 깜박인다.- 두개의 switch를 연결하여 start/stop, reset기능을 수행한다.이때 reset s/w가 ... FND0 h P0- FND1 ¼ P1- FND2, 3 h P2- FND2 CS ¼ P3_0- FND3 CS h P3_1- start /stop toggle switch ¼ P3_2
    리포트 | 10페이지 | 5,000원 | 등록일 2016.05.18 | 수정일 2021.05.13
  • 광운대학교 전기공학과 1학년 실험8
    .☑ 7세그먼트 티코더 구동기BCD 코드가 주어지면 이것으로 7세그먼트 디스플레이의 LED들 중 적절한 것을 켜주어 해당 숫자가 표시되로록 하여 주는 IC를 BCD TO 7세그먼트 ... 직류전원장치(dual), 오실로스코프, 만능기판, 만능기판용 전선, 스트리퍼IC바이너리 인코더 74148 1개BCE 인코더 74147 1개7세그먼트 디코더 7447 1개7세그먼트 LED FND500
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 인터럽트 결과보고서
    과정으로는 일정 시간마다 클럭에 의해 FND에 숫자와 문자가 디스플레이 되도록하고, 두 개의 스위치를 이용해 하나는 FND 디스플레이가 초기화되는 기능을 하며, 다른 스위치는 잠시 ... 그리고 MCU모듈 포트 G의 PG0~3은 Array FND 모듈의 C0~3포트에 연결한다. ... 마지막으로 MCU 모듈 포트 E의 PE0~7을 Array FND 모듈의 A~H까지 연결하면 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2015.11.09
  • 실험4)인터럽트 실습 5, 6
    ▣실습6: 인터럽트를 이용한 스톱워치1) 실습개요- 스위치 모듈과 Array-FND 모듈에 연결하여 스톱 워치를 제작- 일정 시간마다 클럭에 의해 FND에 숫자와 문자가 디스플레이 ... 되도록하고, 스위치를 누르면 FND 디스플레이가 초기화되도록 하며, 또한 다른 버튼을 누르면 잠시 멈추었다가 다시 이어서 동작을 하도록 한다.- 포트 D의 0번 비트와 1번 비트를 ... 사용한다.2) 실습 목표- 인터럽트 활용 방법의 습득(관련 레지스터 이해)- Array FND 동작 원리 이해3) 사전지식- 인터럽트를 이용하여 스톱 워치와 비슷한 기능을 하도록
    리포트 | 8페이지 | 1,500원 | 등록일 2015.11.12
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대