• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(72)
  • 리포트(68)
  • 자기소개서(2)
  • 논문(1)
  • 시험자료(1)

"FPGA 16스위치" 검색결과 1-20 / 72건

  • FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    맨 첫 번째가 0이라고 했을 때 첫 번째 사진을 보면 2번째 스위치를 켰기 때문에 1이란 숫자가 나왔고 마찬가지로 두 번째 사진을 봤을 때 3번째 스위치를 켰기 때문에 숫자 2 가 ... procedure에 keycode를 사용해서 변환시키고 package의 출력값 y_out을 function에 dis_seg를 사용해서 변환시켜서 대입해서 나온 파형이다.DE2보드 실습결과스위치 ... c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 5bit가 나오는 4bits 가산기(스위치입력
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 논리회로및실험 레포트
    사용자는 Clock Control Switch를 이용하 여 16개의 클럭을 선택할 수 있습니다. ... 클럭 제어부는 오 실레이터에서 나오는 값을 클럭 제어 스위치에 따라 16분주하여 FPGA 디바이스 모듈 로 전달하는 역할을 하고 있습니다.2) 동작장비에서 사용하는 클럭은 기본으로 ... 스위치를 조절하여 0 Hz ~ 50 MHz 의 분주된 클럭의 값을 FPGA 디바이스 모듈로 전달되게 됩니다.
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • 전전설2 실험2 예비보고서
    PC와 음향커플러, 모뎀 등에 접속하는 직렬(컴퓨터와 한 번에 한 비트씩 주고받음)방식의 인터페이스의 하나이다.Character LCD : 글자를 표시할 수 있는 LCD (예 : 16X2 ... 장치들이 있는지 그 종류와 특성을 조사하시오.CLOCK : 클럭핀, 외부에서 Clock을 넣어주면 내부에서 이것을 x몇배로 해서 CPU가 동작하는 Clock을 만들어 사용한다.BUS Switch ... B0 loc = p67; //스위치2net A1 loc = p65; //스위치3net B1 loc = p71; //스위치4net A2 loc = p68; //스위치5net B2 loc
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    여러가지 입출력 디바이스들이 있는 채터링을 방지하기 위한 회로가 추가 되어 있어 버튼의 입력을 확실히 받을 수 있다.- BUS Switch: 16버스 입력을 위한 Dip 타입의 스위치이다 ... 이 스위치에는 채터링 방지 회로가 내장되어 있지 않으므로 버튼 스위치의 용도로 사용할 경우 정상적인 입력이 되지 않을 수 있다.- USB to Serial 포트: 시리얼 통신을 하기 ... 이것보다 위의 4자리수 가산기에서 최대의 단수가 되는 입력 A0에서 C4출력까지는 전가산기 C의 단수X4, 즉 4x4 = 16단이 된다.- 자리수가 커지면 이 단수는 고속처리의 큰
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    +스위치를 눌렀을 경우, 단순히 덧셈으로 result값이 결정되지만, ... VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+8=0F4+5=09F+B=1AE+8=16F ... Lab3000의 딥스위치는 4비트(4자리)로 구성되어 있으며, 각 비트의 스위치를 올리고 내림으로써, 값을 2진수로 표현할 수 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 디시설 - 7-세그먼트 디코더 설계
    이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성을 알고, FPGA kit와 ... FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야 한다. ... ; 구문을 통해서 스위치는 모두 16비트이므로, 65,536가지 경우가 있을 수 있지만, 이 가운데 16가지 경우만 입력으로 사용되고, 나머지의 경우는 사용하지 않는다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    실험에서 전류가 나오는지 확인을 위해 output을 LED에 연결해 출력을 확인하는 용도로 쓰인다.- Button switch버튼 스위치는 2가지 타입이 존재하는데, 여기서 활용된 ... 간단히 설명하자면, 버튼을 누르는 동안 전류가 흐르는 타입과 버튼을 누르는 동안 전류를 차단하는 타입이 존재한다.- Dip switch수동으로 전류를 on off하는 스위치이다.- ... .‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 16바.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    통해 A와 B값 각 4bit를 넣어주고 버튼 스위치 1을 통해 Cin을 인가해줬다. ... 팝업창이 뜨면 yes를 누른다.16. 다운로드할 bit 파일을 선택한 후 open 클릭한다.17. 이어서 prom은 프로그래밍하지 않으려면 cancel을 클릭한다.18. ... 결과A=0111, B=1000, Cin=1 (왼쪽이 MSB)을 인가했을 때 결과A=0110, B=1110, Cin=0 (왼쪽이 MSB)을 인가해줬을 때의 결과[응용과제]에서는 BUS 스위치
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    일상생활에서 쓰는 거의 대부분의 전자제품에 이런 소형 LCD가 들어가 있는데, 예를 들면 3D프린터에 현재 상태나, 설정값을 조작 할 수 있도록하는 LCD가 들어있는데, 외부의 스위치를 ... 총 16자리, 두줄을 이용하며, LCD를 이용하기 위해, 설정값으로 6자리, 데이터를 표시할 32자리, 줄 바꿈 1자리로서 총 39개의 state를 통해 LCD를 제어하게 된다.그림 ... 간단하게 기계를 제어하는 데에 이용할 수 있다.그림 2,3 LCD와 3D프린터에서의 활용Reference Hyperlink "https://robohaat.com/product/16
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    스위치는 버튼형도 있지만 하단 중앙에 보면 Dip형의 BUS 스위치도 존재한다. ... 팝업창이 뜨면 yes를 누른다.16. 다운로드할 bit 파일을 선택한 후 open 클릭한다.17. 이어서 prom은 프로그래밍하지 않으려면 cancel을 클릭한다.18. ... 따라서 FPGA는 일반적으로 외부 ROM과 함께 사용한다.- 본 실험에서 사용하는 FPGA는 휘발성(volatile)이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 7세그먼트FND디코더 verilog 설계
    FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩해야한다. ... 이 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자리 16진수를 한 자리 7-세그먼트에 출력하고, 8비트의 슬라이드 스위치로 입력된 두 자리 16진수를 출력하기 위해 디코더를 ... 제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.또한, 디지털 시계의 작동을 위해 ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다. ... VFD 모듈의 외부 구조는 16문자 × 2의 표시부를 가지며 표시부 좌측에 커넥터가 위치한 형태이다.VFD 모듈에서 화면에 표시할 수 있는 문자의 종류에는 대부분의 ASCII 도형문자들이
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • LIG넥스원 생산관리직 합격자소서
    입력가능)다양한 회로설계툴 사용 경험, HW개발 활동을 통해 직무 역량을 키웠습니다.첫째, Synopsys사 Hspice, Custom Compiler, WaveView 툴을 활용해 16bit ... 이를 통해 Linux 활용법 및 FPGA-Design Flow를 상세히 배워 설계에 대한 이해도를 높였습니다.둘째, '마이크로프로세서설계'에서 초시계를 제작하며 HW개발 경험을 쌓았습니다 ... 그 후 "시작,멈춤,리셋" 3가지 스위치 제작 과제가 주어졌습니다. 이를 위해 MPLAB 툴과 C언어를 활용해 스위치 구현에 나섰습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.07
  • 디지털논리회로실험(Verilog HDL) - Adders
    rows-8-bit adder :2 ^{(8+8)} `=`65,536 rows-16-bit adder :2 ^{(16+16)} `=`~4 billion rows-32-bit adder ... Use switchesSW _{7-4ation A + B to the green lights LEDG. ... Use switchesSW _{7-4} andSW _{3-0} to represent the inputs A and B, respectively.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    I1I2B = I1’I2’ + I0C = I1’I2’ + I0D = I0’I2’ + I1E = I1’I2’ + I0F = I1’I2’ + I0G = I1 + I2DP = 0STEP 16 ... 있었다.하지만 이 회로는 우선순위의 개념이 없기 때문에,DIO1과 DIO2를 동시에 눌렀더니그림20이렇게 두 출력이 동시에 high가 되는 모습을 볼 수 있었다.STEP 7:두 개 이상의 스위치가 ... 하지만 이번 실험의 경우, ISE에서 도식으로 그린 회로를 FPGA에 download하여 모듈에 있는 핀과 연결하였기 때문에 실제로 그러한 비용절감 효과를 체감할 수는 없었다.만약
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Assign the pins on the FPGA to connect to the switches and 7plications of digital circuits it is useful ... Use switchSW _{16} to select between these two sets of inputs: A, B or C, D. ... Connect the inputs A and C to switchesSW _{15-8} and connect the inputs B and D to switchesSW _{7-0}.
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 임베디드 시스템 레포트
    Floating in Electrical Signal- 플로팅 상태는 스위치가 열렸을 때 안정되지 못하고 신호선이 High인지 Low인지 어떤 값인지 결정되지 못하는 불확실한 상태를 ... 허용값으로 잡음 여유가 크면 잡음에 강하단 뜻으로 회로가 그만큼 안정된 동작을 수행할 수 있다.1) TTL : 잡음 여유가 약 0.5V로 적다.2) ECL : 잡음 여유가 약 0.16V로 ... ) CPLD : Complex Programmable Logic Device으로 복합 프로그래머블 논리 소자라고 한다.- PAL과 FPGA의 복합성과 구조적 특성을 가지고 있는 프로그래밍
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • FPGA 프로젝트 보고서 (MPU설계) (Digital Systems Design Using Verilog)
    FPGA PROject 보고서microprocessor 소개본 microprocessor는 opcode 명령어를 읽어드리고, 해독하여 작업을 수행하는 fetch decode execute ... 기본적인 opcode는 16bit이며, operand A의 주소, operand B의 주소, 연산코드, 연산결과가 저장될 주소, ram register의 저장 여부로 구성되어 있다.기본적인 ... 입력을 감지하고 opcode를 생성한다if(User_input1 == 4'b1000)beginnState = 1;// 1'b1};nState = 1;//slide switch input
    리포트 | 37페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 디지털 시스템 설계 및 실습 7-세그먼트 FND 디코더 설계 verilog
    이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16진수를 한 자리 7-세그먼트에 출력하고, 8비트의 슬라이드 스위치로 입력된 두 자리 16진수를 출력하기 위해 ... 실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력하려면 디코딩 해야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    [사진 46]의 소스코드는 피에조/7-세그먼트의 입출력을 버튼 스위치와 버스 스위치, 피에조와 7-세그먼트에 맵핑한 것이며, [사진 47]의 소스코드는 피에조/7-세그먼트의 동작을 ... 선택한다.File ⇒ Initialize ChainFPGA에 프로그래밍할 파일을 선택한다..bit 파일을 선택한다.PROM에 프로그래밍할 파일을 선택한다.PROM File을 생성하지 않았고 FPGA에만 ... 11] 증가 계수(Q = 2)[사진 12] 증가 계수(Q = 3)[사진 13] 증가 계수(Q = 4)[사진 14] 증가 계수(Q = 5)[사진 15] 증가 계수(Q = 6)[사진 16
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:03 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기