• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(32)
  • 리포트(32)

"HBE-ComboⅡ-SE" 검색결과 1-20 / 32건

  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Post-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴 -담당 교수담당 조교실 험 일학 ... AND Gate 프로그래밍Inlab 2. 1bit-FullAdder & 4bit-FullAdder 프로그래밍1bit-FullAdder4bit-FullAdder핀 설정본인의 전화번호 ... 앞의 3자리를 제외하고 나머지 8자리의 숫자를 2자리씩 SUM71165905F(16) -F(16) -Cin(본인의 경우엔 Z)F(16) -A(16) -Cin(본인의 경우엔 Z)Discussion
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Pre-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴-담당 교수담당 조교실 험 일학 번이 ... HDL text files-Preview of HDL templates-Syntax Coloring-When editing a text file, an asterisk appears ... Simulation의 결과에 하드웨어적인 요소가 반영된 시뮬레이션- Target 디바이스와 핀 설정, 내부 Logic Cell 배치에 따라 delay Time의 결과가 달라진다.-
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    Post-Lab ReportLab#02[HBE-ComboⅡ-SE] board[Xilinx Spartan3] FPGA chip[ISE] digital design tool담당 교수강 ... -Xilinx ISE. ... : iSim(VHDL/Verilog)Preterred Language : VerilogAND Gate 로직 설계File-New-Schematic 추가Symbol Tap에서 and게이트를
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『ISE』] 예비 보고서
    II-SE(가) HBE-Combo II-SE 장비는 FPGA를 이용한 디지털 논리회로 설계하여 실습을 위한 장치로, 디지털 논리회로에서 많이 사용하는 스위치, LED, FND(FND ... JTAG를 이용하여 HBE-COMBO II –SE장비에 연결하고, 파일을 프로세서에 저장시킨다.3. ... JTAG를 이용하여 HBE-COMBO II –SE장비에 연결하고, 파일을 프로세서에 저장시킨다.3.
    리포트 | 14페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    JTAG를 이용하여 HBE-COMBO II –SE장비에 연결하고, 파일을 프로세서에 저장시킨다.3. ... -3A1500 ~ 25 000입출력 최적화스파탄-3AN1500 ~ 25 000비휘발성스파탄-3E2 000 ~ 33 000논리 최적화스파탄-3A DSP37 000 ~ 54 000DSP ... (나) 스파탄-3이중 우리가 실험에서 사용하는 스파탄-3에 대해 자세해 알아본다면,스파탄 계열은 저가형 부품이며, 대부분 버텍스-2 계열와 비슷하다.동일한세대의 버텍스 소자보다 동작속도가
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    HBE-COMBO Ⅱ-SE VerilogHDL Labpost-lab reportHBE-COMBO Ⅱ-SE VerilogHDL Lab(2주차)post-lab report과목명전자전기컴퓨터설계실험2담당 ... 실험 이론HBE-COMBO Ⅱ-SE VerilogHDL Labpost-lab report2.1. ... 실험 장비(1)Xlinx ISE(Integrated Synthesis Environment)(2)HBE-COMBO Ⅱ1.1.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 2주차 예비+결과(코드포함) HBE-Combo2-SE board
    각각의 1-bit Full Adder가 An, Bn의 두 입력을 받고, 첫 번째 1-bit Full Adder에는 Cin 또한 입력으로 들어간다. ... 출력은 Half Adder와 마찬가지로 Sum과 C_out를 내보낸다.5)4-bit Ripple Carry Full Adder는 4개의 1-bit Full Adder로 이루어지는데, ... Carry는 AND gate의 출력이다.3)Module Instance Symbol을 이용하면, 직접 만든 회로를 Symbol화하여 필요한 경우 간편하게 불러와 사용할 수 있다.4)1-bit
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    실험 장치Laptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... 통한 Two-input XOR행위 수준 모델링을 통한 Two-input XORTwo-input XOR pin설정[실습 5]: Four-bit 데이터 a[3:0]와 b[3:0]의 XOR ... 단점으로는 강력한 형식의 언어이기에 강력한 형식이 아닌 스크립트는 컴파일 할 수 없다.보조자료 Verilog-HDL 문법 pdf 자료를 읽으시오.본 실험에서 사용되는 Verilog-HDL
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서울시립대학교 전자전기컴퓨터설계실험2 제03주 Lab02 Post
    발생하면 Debugging 과정에서 위의 Sequence가 잘 지켜졌는지 확인한다면 시간을 더 단축할 수 있을 것이다.Reference교안 - Lab#02 『HBE-ComboⅡ-SE ... 『HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool실험 날짜2016. 9. 19학번이름Professor조교Expected ... 』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool, 서울시립대학교.Datasheet - HBE-Combo II-SE
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제03주-Lab02-Pre
    HBE-ComboⅡ-SE』board,Lab#02 『Xilinx Spartan3』FPGA chip,『ISE』digital design tool실험 날짜2016. 9. 19학번이름Professor조교실험 ... ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... Wire로 선언할 경우, Simulation에서 데이터의 출력 시간이 짧다는 장점이 있다.Reference교안 - Lab#02 『HBE-ComboⅡ-SE』board,Lab#02 .
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 ... Ⅱ-SE에 장착되어 있는 Character LCD는 VFD로, 필라멘트에서 항시 방출되는 전자를 형광체에 충돌시켜 자체적으로 발광하는 소자를 사용한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... 이는 위의 사진과 거의 동일한 형태를 띄므로, 생략한다.따라서 digital watch가 잘 작동함을 확인할 수 있다.ReferenceDatasheet - HBE-Combo II-SE ... VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    )HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 방법[실험 1] Static 7-Segment ControllerAdd ... and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508 ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ9주차.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로 ... PreliminaryReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... -SE board 이용하여 확인해본다.2.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    above is Truth table of D Flip-flop.ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ ... , Register and SIPO, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508 ... -SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 방법[실험 1] 병렬 데이터전송Add SourceSource Code모듈을 지정해주고
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.State Machine, Wikipedia ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ8주차.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... [실험 3] 2-bit 2 * 1 Mux 설계Add SourceSource Code모듈을 지정해주고, 2개의 2-bit Input A, B와, 1-bit Input S을 선언해준다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    HBE-ComboⅡ-SEHBE-ComboⅡ-SE 장비란 FPGA를 이용한 디지털 논리회로 설계 실습을 위한 장치로 회로에서 많이 사용되는 Switch, LED와 같은 주변 장치를 위주로 ... PostReport주 제: Lab#02 『HBE-ComboⅡ-SE』 board,『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool지도교수 ... -SE board 이용하여 확인해본다.2.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 서울시립대학교 전자전기컴퓨터설계실험2 제04주 Lab03 Pre
    ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ4주차.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip) ... Design, Arithmetic Logic and Comparator, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 ... , Full-Adder, 4-bit Full-Adder, Subtractor를 설계해본다.실험에 필요한 배경 지식1-bit Full SubtractorSubtractor는 Input
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:43 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대