• 통큰쿠폰이벤트-통합
  • 통합검색(169)
  • 리포트(161)
  • 시험자료(5)
  • 방송통신대(3)

"HDL 파일" 검색결과 1-20 / 169건

  • HDL 파일
    여기까지 초기(JW1.v) 파일`timescale 1ns / 1ps//////////////////////////////////////////////////////////////// ... 4-9)module _4bit_adder (S,C4,A,B,C0);input [3:0] A,B;input C0;output [3:0] S;output C4;wir더 테스트 벤치 파일 ... to finishwait for 100 ns;-- Place stimulus herewait; -- will wait foreverEND PROCESS;END;3번째 테스트 벤치 파일
    리포트 | 7페이지 | 1,000원 | 등록일 2008.12.22
  • 시립대 전전설2 A+ 2주차 예비레포트
    - VHDL: Very High-speed Integrated Circuits HDL (IEEE 1076)- Verilog: Verilog HDL (IEEE 1364)5) HDL 기반 ... 생성한다.다음과 같이 Test bench module을 코딩한다.Test bench 파일을 코딩 후 저장하면 tb_logic_gate가 top module로 바뀐 것을 확인할 수 ... Windows 사용자 계정 이름도 영어로 해야 한다.주의2: 개인 노트북이 아닌 실험실PC에서 작업하는 경우에는 실험이 끝난 후 다음 반 수업을 위하여 반드시 PC에서 프로젝트 파일
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 디지털시스템실험 2주차 예비보고서
    테스트 벤치 파일(LAB01_INTRODUCTION_TB.v) 파일을 추가한다.5. ... 나타나는 창에서 Verilog HDL File 을 선택한다.4. ... 추가할 파일을 검증하기 위하여 다음 그림과 같이 Compile > Compile All 메뉴를 선택하여 추가한 파일에 대한 컴파일을 실행시킨다.6.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 전전설2 3주차 실험 결과레포트
    Gate Primitive를 이용한 Two-input AND 케이트 설계실험 전 예측)만약 Combo박스에 잘 연결되어 있고 ucf파일에 입출력 장치와 핀 번호 정보를 잘 입력했다면 ... Behavioral modeling을 이용한 Two-input AND 케이트 설계실험 전 예측)만약 Combo박스에 잘 연결되어 있고 ucf파일에 입출력 장치와 핀 번호 정보를 잘 ... 가와 같다.실험 결과)실험 전 예측했던 대로 실험 가와 같았다.라. 3가지 방법으로 Two-input XOR 케이트 설계실험 전 예측)만약 Combo박스에 잘 연결되어 있고 ucf파일
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    먼저 HDL 고유의 포맷으로 칩의 logic을 기술한 파일을 생성하고, 다음으로 산업 표준인 EDIF 포맷으로 변환한다. ... 마지막으로 JEDEC 포맷 파일로변 환하는데, JEDEC 파일은 PLD programmer가 PLD를 프로그램하는 데 필요한 명령어를 담고 있다. ... HDL은 소프트웨어 프로그래밍 언어와 유사하지만, 약간의 차이가 있다. 두 언어는 컴파일러에 의해 처리되지만, HDL은 다음과 같은 단계를 거쳐 컴파일된다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    시뮬레이션 탭을 연 후 testbench파일을 작성하기 dnllgo 모듈 우클릭 후 new source를 누른다.7. ... 확장자.v라는 이름의 Verilog module source 파일 템플릿이 생성된 것을 확인한다.5. bit operator 방식으로 AND Gate를 모델링 및 저장한 후 synthesize한다 ... 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    선택한다.FPGA에 프로그래밍할 파일을 선택한다..bit 파일을 선택한다.소스코드를 작성한다.핀 설정에 대한 사항을적고 저장한다.Cancel 버튼을 클릭한다.작성한 소스코드를 저장한다.Project ... 베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    C언어의 컴파일 과정과 비슷하다고 보면 된다.4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로 ... 실험실 컴퓨터에 설치된 Vivado design Suite 프로그램을 연다.2) 프로젝트 폴더를 생성하고 코드를 주입할 보드명을 선택한다.3) Design Sources 폴더에 vhd파일을 ... Vivado Design Suite 2014.4 :Xilinx에서 HDL 디자인의 합성 및 분석을 위해 제작 한 software suit이다.3.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    HDL SourceProject ⇒ New File설계 방법, 파일 이름, 경로를 설정한다.Port Name, Direction, Bus를 설정하여 마친다.1.4. ... 선택한다.And_test.bit 파일을 선택한다.PROM에 프로그래밍할 파일을 선택한다.PROM File을 생성하지 않았고 FPGA에만 다운로딩 하기 때문에 파일 선택을 하지 않는다.Programming ... HDL의 문법과 의미팩’라고 불리는 EDA 소프트웨어의 무료 버전은 고성능 칩을 제외한 모든 소자를 사용할 수 있다.
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    토의(1) 1-bit full adder 회로의 test bench본 실험에서는 프로그래밍을 하고 synthesize를 한 뒤 항상 testbench 파일을 작성하였다. ... 실습 Lab#03 Verilog HDL, 서울시립대학교.ppt Verilog HDL 이론과 문법PAGE \* MERGEFORMAT2 ... Test bench 파일이 무엇인지 탐구하고 더 나아가서 Lab03 교안에 나와있는 1-bit full adder의 test bench의 파일을 자세하게 살펴보고자 한다.1) test
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 시립대 전전설2 Velilog 결과리포트 2주차
    bbs_no=8&data_no=63 이 링크에 있는 대로 내부 파일을 수정했다. ... & HDL 설계 지원2) Xilinx ISE Design Entry(1) ISE- Text Editor : VHDL, Verilog- Memory Editor : Hex, Mif- ... Schematic Design Entry(2) Third party EDA tools- EDIF, HDL(3) Add flexibility and use optimized design
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    실험 목적본 보고서에서는 베릴로그 HDL을 사용하여 순차 논리를 설계 및 실험한다. ... 선택한다.핀 설정에 대한 사항을 왼쪽과 같이 적고 저장한다.Implement Design을 다시 실행시켜 컴파일 한다.Simulation을 선택한다.Verilog HDL Module ... 따라서 시프트 레지스터와 같은 동작을 ject프로젝트를 만들고 프로젝트를 실행할 폴더를 생성한다.HDL을 선택한다.왼쪽 사진과 같이 설정한다.File ⇒ NewText File을 선택한다
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 순환장애 대상자 간호에 대한 내용입니다. 간호 실습가기전 필수로 해야하는 사전학습입니다. (순환기계 구조와 기능, 순환기계 질환, 순환기계 관련 검사 및 약물, )
    dL이상, 여성은 50mg/dL이상주의사항완전한 지질 프로파일 검사는 9~12시간의 금식이 요구된다. ... 만약 금식을 지키지 못한 경우 HDL 콜레스테롤과 총 콜레스테롤 수치만이 위험도 평가에 사용될 수 있다. HDL은 대상자가 병적 상태가 아닐 때 측정되어야 한다. ... 여성의 경우에는, 임신 시 HDL 콜레스테롤 수치가 변한다.
    리포트 | 18페이지 | 1,000원 | 등록일 2022.04.25
  • 디지털시스템실험 2주차 결과보고서
    File > New를 통해 나타나는 창에서 Verilog HDL File 을 선택하여 파일을 생성하였다.4. 좌측의 Verilog 코드를 생성하였다.5. ... Add items to the Project 창에서 Add Existing File 선택 후 프로젝트에 포함할 로직 파일 과 테스트 벤치 파일을 추가하였다.5. ... ModelSim 프로그램을 이용하여 우측과 같은 파일을 작성하고 Proj01 이라는 이름으로 프로젝트를 생성하였다.4.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.07.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    Module을 선택하고 이름을 넣은 후finish확장자.v 라는 이름의 Verilog 모듈 source 파일 템플릿begin ~ end 블록을 수정하여 시간에 따른 입력 값의 변화에 ... 배경 이론Verilog HDL과 VHDL의 장단점(1) HDL (Hardware Description Language)먼저 Verilog HDL과 VHDL을 포함하는 HDL에 대해서 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다.(2) Verilog HDL과 VHDLVerilog HDL과 VHDL는 모두
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    이것은 Verilog는 컴파일 과정에서 별도의 파일에 필요한 모듈을 넣는 것이 허용되지 않음을 의미한다. 따라서 큰 규모의 프로젝트에서 파일들을 관리하는 것이 어려울 수 있다. ... Purpose of this Lab이번 실험에서는 verilog HDL 언어의 기본 사용법을 익힌다. ... Pre-Lab Report- Title: Lab#03 Introduction to Verilog HDL담당 교수담당 조교실 험 일학 번이 름목 차1.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • Apolipoproteins(139), cholesterol(269), triglycerides 검사의 시행 목적, 결과의 의미, 정상 범위, 검사 시
    의료진은 콜레스테롤이 높은 원인을 보고자 지질 프로파일(과 다른 검사들)을 처방할 수 있다. ... 이것은 고밀도지단백(HDL) 검사의 대안으로 사용될 수도 있지만, 일반적으로 HDL보다 더 좋거나 더 정보를 많이 준다고 여겨지지 않아 일반적으로는 사용하지 않는 A-I은 때때로 apo ... HDL의 역운반이 세포가 과잉콜레스테롤을 없앨 수 있는 유일한 방법이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.13
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    [응용과제] Gate primitive 방식을 통한 1-bit full adder 설계위 테스트 파일은 for문을 통해 입력값에 주기적인 변화를 줬다. integer k가 10ns마다 ... 배경이론 및 사전조사HDL 기반 설계 방식은 크게 두 가지가 있다. ... 이 때 top-level source type은 HDL을 선택한다.2.
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • 시립대 전전설2 A+ 6주차 예비레포트
    변경시뮬레이션 결과는 다음과 같이 나와야 하며, 지시한 시점과 입력의 변화, 출력의 변화를 자세히 비교할 것Pin 할당Clk: button SW1(전용클럭이 아니므로 constraint 파일에서 ... 증가/감소시키는 회로이며, 주파수 분주기, 타이밍 제어 신호 생성 등에 활용동기식 계수기는 모든 플립플롭이 공통 클럭에 이하여 구동되어 설계가 용이하고 동작이 빠름Verilog HDL의 ... 강의 교안(Lab-06 Register and Counter)전자전기컴퓨터설계실험II 강의 교안(HBE Combo II-DLD)전자전기컴퓨터설계실험II 강의 교안(Verilog-HDL
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 시립대 전전설2 Velilog 결과리포트 3주차
    두번 째는 Instance할 때 안에 파일에 코드를 집어 넣어줘야 한다는 것이었다. 안에 코드를 집어넣지 않아 물음표가 뜨면 프로그램이 구동되지 않았다. ... 때문에 복잡하고 생소한 Verilog HDL 문법을 이해하고 숙지한 상태가 아니라면 회로를 설계하는데 어려움이 있을 것 같다. ... Verilog HDL 실습 3주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록1
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대