• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(844)
  • 리포트(805)
  • 자기소개서(27)
  • 시험자료(7)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 1-20 / 844건

  • Atmega128을 이용한 LCD시계 및 온도계
    , 이번에는 그 경험을 바탕으로 하여 LCD시계를 만들어 보았다. ... LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... 시계와 온도계는 7 segment를 이용하여 숫자를 표시한다. 프로그램을 짜서 LCD 스크린에 시간을 표시하도록 하고, 시계의 역할을 하도록 만든다.
    논문 | 25페이지 | 7,000원 | 등록일 2013.12.06 | 수정일 2017.04.14
  • [8051시계] 8051 LCD 시계
    x_y_position(14,0);string_out(smarr[al_min1]);// 알람 시의 위치x_y_position(12,0);string_out(harr[al_hour_index]);// 시계의 ... x,char y); // lcd출력 포지션void init_TO(void); // 타이머 초기화void timer_1sec(void); // 타이머 1초 셋팅void display ... (); // lcd초기화void char_out(char s); // 문자 출력void string_out(char *str); // 문자열 출력void x_y_position(char
    리포트 | 6페이지 | 2,000원 | 등록일 2003.06.15
  • 8051에 대한 일반적인 개념 및 LCD시계동작을 위한 타이머 셋팅
    핀 ► 5번핀에 High 가 입력되면 LCD는 입력모드로 작동 되고 Low가 입력되면 LCD는 출력모드로 작동. ► 7번부터 14번까지는 데이터 버스LCD 시계 동작 방법◆ 시계 ... 경우 마지막 2핀 사용 안 함.LCD시계 동작 원리► Vcc와 GND 가 각각 1번 2번에 연결 ► 3번은 8051 키드에 장착된 가변저항을 이용해서 LCD 밝기를 조절 할 수 있는 ... 구현 방법 - 시계모드에서는 타이머/카운터0을 이용하여 50ms마다 인터럽트를 발생 시켜 이것을 카운터 하여 초, 분, 시를 구현► LCD 모듈을 초기화 → CLOCK(시간 작성)
    리포트 | 12페이지 | 1,000원 | 등록일 2008.05.23
  • [8051 어셈블리어] 다목적 디지털 LCD 시계 (날짜,시간,요일 변경, 알람, 스톱워치, 타이머, 세계시간, 크리스마스 모드)
    1. 표준모드(날짜, 시간, 요일) - 날짜, 시간, 요일 변경 가능 (윤년 판단 가능) - 알람 ON인 경우 종 모양이 좌측 하단에 표시됨 - 정시에 멜로디가 울림2. 알람모드 - 알람 시간 설정, On/Off 설정 가능 - 알람이 울리면 불빛과 멜로디가 나옴3. 스..
    리포트 | 35페이지 | 7,000원 | 등록일 2012.04.30 | 수정일 2023.03.10
  • [졸업작품]ATmega128을 이용한 LCD 시계 및 온도계
    , 이번에는 그 경험을 바탕으로 하여 LCD시계를 만들어 보았다. ... LCD 시계와 온도계를 제작, 완성하는 것이다. 0시부터 12시까지 오전, 오후로 시간을 표시하도록 하고, 시계가 정상 작동하도록 한다. ... 프로그램을 짜서 LCD 스크린에 시간을 표시하도록 하고, 시계의 역할을 하도록 만든다.
    리포트 | 23페이지 | 3,000원 | 등록일 2007.02.11
  • [8051] 디지털시계 LCD [AT89C2051]
    8051 CPU계열인 AT89C2051 CPU를 사용한 디지털 시계입니다.시계표시를 LCD를 사용했으며, CPU를 사용하고 가장 간단한 회로도를 원하시는 분계 제격입니다./* (at89c2051 ... LCD에 쓰는 문자들이 바뀌었다면, LCD_DISP = 1하고, 문자쓰기를 했다면, LCD_DISP = 0 한다.*/ ... , 24Mhz) 16 X 2 Line LCD xx -> x position, glja -> 문자열 ex)"abcd" lcd_write (unsigned char xx , unsigned
    리포트 | 1페이지 | 1,000원 | 등록일 2005.04.19
  • [전자공학실험] 8051 LCD 제어-LCD시계구현.
    실험 1 : LCD 에 타이틀 페이지 출력♨실험 분석이 번 실험은 LCD에 직접 프로그램을 짜서 출력하는 실험이다. ... 그럼 이제 LCD 명령어에 대해서 알아보자. 우리가 사용하는 LCD 의 메모리 주소는 0xfa00 이다. ... LCD 역시 다른 TTL 칩처럼 Vcc 와 GND 가 각각 1,2번에 연결되어 있었다. 3번은 8051 키드에 장착된가변저항을 이용해서 LCD 밝기를 조절할수 있는 핀이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2003.12.04
  • vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    여러가지 기능을 포함한 디지털시계 소스입니다컴파일 이상없구요맥스 플러스II돌려야합니다~vhdl로 만듬
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    JDF E// Created by ISE ver 1.0PROJECT lcd_seg_watchDESIGN lcd_seg_watch NormalDEVKIT xcs10-3pc84DEVFAM ... .vhdMODSTYLE lcd_ctl NormalMODULE count10.vhdMODSTYLE count10 NormalMODULE watch_control.vhdMODSTYLE ... watch_termproject_top.vhdMODSTYLE watch_termproject_top NormalMODULE count500.vhdMODSTYLE count500 NormalMODULE lcd_blk1
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • [8051] [졸업작품]디지털시계,LCD,멜로디알람,온도표시[AT89C52]
    또한 시간표시를 LCD를 사용해서 세련된 모습을 보입니다.:8051 CPU인 AT89C52 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다. ... 8051 CPU를 사용한 다기능 시계 입니다.
    리포트 | 7페이지 | 10,000원 | 등록일 2005.07.02
  • [마이크로프로세서] [졸업작품]디지털시계 LCD 멜로디알람 온도표시 [ATMEGA8535L]
    디지털 시계입니다. 16x2 Line LCD에 시간을 표시했으며, 여기에 온도계 표시기능도 넣었기 때문에 보통의 일반 디지털 시계와 차원이 다릅니다.CPU는 AVR 계열의 ATMEGA8535L ... :AVR CPU인 ATMEGA8535L-8 CPU를 사용한 LCD 디지털 시계이며, 알람 출력으로 멜로디 전용 IC를 사용했다. ... 버턴을 누를 때마다 부저 음이 울리게 되어있으며, 이 시계의 특징은 온도 표시 기능이다. 온도 센서를 이용해서 LCD 창에 현재의 온도를 표시 하였다.
    리포트 | 315페이지 | 10,000원 | 등록일 2005.06.23
  • [PIC] [졸업작품]디지털시계LCD 멜로디알람, 온도출력[PIC16F74]
    OPTION &= ~0x20; // 11.0592Mhz / 4 = 2.7648Mhz::처음에 디지털 시계와 알람 기능 정도만 넣으려고 했으나 뭔가 좀 허전한 것 같아서 온도 표시까지 ... 그러면 LCD 창의 왼쪽 위에 ALARM이라고 메시지가 뜨며 그 시간은 알람 시간이 된다.알람시간 수정하려면 , 시간 수정과 같다.알람 세팅이 끝나면, 다시 [알람시간] 버턴을 누르면 ... 된다.그리고 알람을 울릴 것인가, 말것인가를 정하는 것은 [알람기능] 버턴을 누르면 된다.그러면 LCD 창의 오르쪽 위에 ON, OFF를 반복하면서 나타내어 준다.알람출력이 멜로디이며
    리포트 | 174페이지 | 10,000원 | 등록일 2005.05.16
  • [마이크로프로세서] [졸업작품]DS12887A 이용디지털시계 LCD[AT89C51]
    DS12887A 를 이용해서 디지털 시계를 만드는 내용 입니다. CPU는 AT89C51을 사용했으며 시간 표시로 16x2Line LCD 사용했습니다. ... 그 정보를 16X2 LCD 화면에 표시 한다. 시간 수정 버턴을 누르면 LCD 창에 커서가 나타나며 년도,달,일,요일의 정보를 수정한다.앞서 예기 했듯이. ... ::지금까지 디지털 시계를 마이컴을 이용해서 많이 만들어 봤다.
    리포트 | 19페이지 | 10,000원 | 등록일 2005.06.10
  • 마이크로 프로세서 ATmega128을 이용한 시계 구현
    기능hour1 = hour/10;//시계 초 10자리hour2 = hour%10;//시계 초 1자리min1 = min/10;//시계 분 10자리min2 = min%10;//시계 분 ... 1자리sec1 = sec/10;//시계 시 10자리sec2 = sec%10;//시계 시 1자리thour1 = thour/10;//스탑워치 시 10자리thour2 = thour%10 ... (thour2+'0');LCD_char('h');LCD_char(tmin1+'0');LCD_char(tmin2+'0');LCD_char('m');LCD_char(tsec1+'0');
    리포트 | 13페이지 | 3,500원 | 등록일 2020.12.25 | 수정일 2022.10.10
  • LCD의 원리와 응용 정리 PPT
    컬러 필터를 이용하여 광원에서 나온 빛의 양과 색을 조절하고 , 이 빛을 이용하여 다양한 색을 표현함LCD 의 이용 휴대폰이나 카메라의 영상 화면 , 텔레비전 , 모니터 , 전자시계 ... 휴대용 계산기와 디지털 시계를 선보임##원래 80도에서 작동함 1980년대 최초의 컬러 평면 LCD TV가 일본에서 출시됨 1990년대 훨씬 더 큰 평면 텔레비전 스크린이 액정으로 ... LCD목차 LCD 의 정의 액정이란 ?
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.30
  • (10가지 기능, 코드 전체 포함, 직접 작성한 코드, 확장성 좋은 코드)서울시립대학교 전전설2 10주차(Final) 예비레포트(코딩 매우 성공적, A+, 10점 만점 11점, 디지털 시계)
    Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 디지털 시계를 설계한다. ... 수행 과제(1) Lab 2Text LCD를 이용하여 Digital Clock을 구현하시오.필수 기능 : 시/분/초 표현과 시간 조정 기능을 포함부가 기능 : 자유롭게 추가할 것 (예 ... 시계의 필수 기본 동작을 포함하고, 다양한 선택 동작을 설계 및 구현한다.디스플레이 동작의 다양성을 설계 및 구현한다.2.
    리포트 | 33페이지 | 3,700원 | 등록일 2020.07.22 | 수정일 2020.12.07
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 final project Digital Clock 기능7개 전자전기컴퓨터설계실험2
    시계의 출력데이터를 관리시계의 출력데이터를 관리하기 위해서 [7:0]LCD_CLOCK_DATA [16:0]을 선언해주었다. ... 세계시간(로마)의 LCD출력데이터 관리3. 실험 결과3-1. ... 시계 작동의 코드를 나열하면서 서술하였다.
    리포트 | 52페이지 | 6,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 한류관광제품(한글ioT시계) 사업계획서
    LCD의 가격하락에 따라 LCD 융합제품의 시장이 고성장추세에 있으며, 투명TFT-LCD의 보편화로 인한 융합신제품의 수요창출- 2017년 기준 세계 LCD시장은 1,155억달러로 ... 연평균 5%이상의 성장세를 보이고 있으며 최근 2년간 LCD패널은 가격하락세를 보이고 있어 LCD융합제품의 수익성을 높일 수 있는 대안으로 자리잡고 있음- 투명TFT-LCD는 투명한 ... IoT융합 제품으로서의 다양한 기능과 디자인이 개선된 탁상형 시계로 인테리어적인 요소 부각- 기본적인 숫자형태의 시계가 가진 한국어팩이 구비된 시계로 제작하며, 위성시계 모듈적용을
    리포트 | 5페이지 | 2,500원 | 등록일 2020.10.06
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.또한, 디지털 시계의 작동을 위해 ... [사진 1] 변수 선언 (소스코드 일부)디지털 시계 소스코드의 모듈명은 Final_3이고, 입력으로는 RESETN(리셋), CLK_1MHZ, 출력으로는 LCD_E, LCD_RS, LCD_RW ... 이 디지털 시계는 [표 1]의 필수 동작과 선택 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2)
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 디스플레이에 대해서
    Crystal Display)1888년 오스트리아의 F.Reinitzer에 의해 처음 발견된 액정은 1968년 미국 RCA사에 의해 디스플레이에 응용됐다. 1973년에 전자계산기, 전자시계에 ... 적 용된 액정은 1986년 이후 STN(Super Twisted Nematic) LCD와 소형 TFT LCD가 실용화됐다. ... 주요 디스플레이인 평판 디스플레이 분야의 LCD, PDP, OLED를 위주로 부품 및 소재의 구성을 살펴보도록 하습니다.1.TFT-LCD(Thin Film Transistor-Liquid
    리포트 | 6페이지 | 6,000원 | 등록일 2023.05.30
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:53 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기