• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,224)
  • 리포트(2,167)
  • 자기소개서(27)
  • 서식(11)
  • 시험자료(11)
  • 논문(3)
  • 방송통신대(2)
  • 이력서(1)
  • ppt테마(1)
  • 노하우(1)

"Lab07" 검색결과 1-20 / 2,224건

  • 서울시립대 전전설2 Lab-07 예비리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ예비리포트Lab-07 Sequential Logic 2작성일: 20.11.011. ... In-Lab [실습 0]의 코드를 작성하시오.2. 응용과제에서 글자 입력 ‘abaa’패턴 검출을 Moore machine으로 구현하기 위한 상태천이도를 그리시오. ... 조합회로 블록, 순차회로 블록들을 잘 나누어 always문으로 구성했고 인스턴스 매핑도 이름에 의한 매핑 방식으로 정확히 매핑했으며 시뮬레이션 결과도 특별한 문제가 없었으므로 In lab
    리포트 | 8페이지 | 1,500원 | 등록일 2021.09.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(결과) / 2021년도(대면) / A+
    .- [실습 1]의 Moore/Mealy machine에 대한 디자인 로직은 해당 Result of this lab 파트에서 라인별로 분류하여 상세하게 설명해두었다. ... 상황에서 ‘1011’의 패턴이 발견될 때마다 1을 출력하고 그 외에는 0을 출력하는 시스템(Moore machine으로 디자인)에 대한 디자인 로직은 해당 Result of this lab
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서강대학교 23년도 마이크로프로세서응용실험 7주차 Lab07 결과레포트 (A+자료)
    마이크로프로세서응용실험 7주차 실험 보고서목적- 인터럽트의 동작원리를 이해한다. 인터럽트가 발생했을 때, stack이 어떻게 사용되는지 이해한다.- vector table의 구성과 역할에 대해 이해한다.- 인터럽트의 처리과정에서 사용되는 레지스터들의 종류 및 역할을 이..
    리포트 | 36페이지 | 2,000원 | 등록일 2024.03.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    Prelab(1) In-Lab 실습 0/1의 코드를 작성하고, 각 단계별로 동작을 자세히 설명하시오. (FSM 디자인은 상태 천이도를 포함하여 디자인 과정을 설명할 것)a.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 결과 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    전자전기컴퓨터공학부 설계 및 실험2Post Lab-07Sequential Logic 2(Finite State Machine)실 험 날 짜학 번이 름목차1.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 예비 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    전자전기컴퓨터공학부 설계 및 실험2Pre Lab-07Sequential Logic 2(Finite State Machine)실 험 날 짜학 번이 름목차1.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • Lab#07 Sequential Logic Design2
    Pre-Lab ReportLab#07 Sequential Logic Design2@ FSM and Clocked_Counter담당 교수강 상 혁담당 조교박 재 민실 험 일실 험 조학 ... Purpose of this lab3나. Essential backgrounds32. Materials & Methods4가. Materials4나. Methods4다.
    리포트 | 23페이지 | 1,500원 | 등록일 2016.09.11
  • [A+]전전컴실험I_Lab07_Pre_연산증폭기의 응용
    Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나. ... Essential Backgrounds (Required theory) for this Lab ‥‥‥‥‥‥‥‥‥2-102. ... )에 대하여 학습한다.Essential backgrounds for this Lab연산증폭기(OP amp : Operational Amplifier)란 덧셈, 곱셈, 뺄셈, 나눗셈의
    리포트 | 24페이지 | 1,000원 | 등록일 2017.11.24
  • [A+]전전컴실험I_Lab07 Post 연산증폭기의 응용
    Results of this Lab (실험결과)‥‥‥‥‥‥‥‥‥‥‥14-21가. Results of Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥14-214. ... Purpose of this Lab‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 2나. ... Studies from this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥256.
    리포트 | 27페이지 | 1,000원 | 등록일 2017.11.24
  • 전전컴실험III 제07Lab06 DIODE1 Post
    (0) Purpose of this Lab.이번 실험에서는 다이오드의 특성을 알아보고 다이오드를 해석하기 위한 Modeling 방법들에 대하여 공부한다. ... 또한 실제 다이오드를 포함한 회로를 구현하여 다이오드의 실제 특성과 Modeling의 차이를 분석한다.(1) Result of this Lab.[1-1] 사전 보고서에서 계산한 값과 ... 7] R = 2k, D : 1N4002[그림 8]오실로스코프를 이용하여 Vo을 확인한 모습이다. -10.2V~6.40V의 펄스 파형이 출력되는 모습을 확인할 수 있다.지난 Pre-lab에서
    리포트 | 7페이지 | 3,000원 | 등록일 2017.02.05
  • 전전컴실험III 제08주 Lab07 DIODE2 Post
    또한 지난 실험에 이어서 실제 다이오드의 동작과 다이오드 모델의 차이를 확인한다.(1) Result of this Lab. ... (0) Purpose of this Lab.이번 실험에서는 다이오드를 이용하여 반파 정류 회로와 전파 정류 회로를 설계하고, 실제 출력 파형을 확인한다.
    리포트 | 7페이지 | 2,500원 | 등록일 2017.02.05 | 수정일 2017.03.26
  • 전전컴실험III 제08주 Lab07 DIODE2 Pre
    (0) Purpose of this Lab.이번 실험에서는 다이오드를 이용하여 반파 정류 회로와 전파 정류 회로를 설계하고, 실제 출력 파형을 확인한다. ... 또한 지난 실험에 이어서 실제 다이오드의 동작과 다이오드 모델의 차이를 확인한다.(1) Essential Backgrounds (Required theory) for this Lab ... [그림 8](2) Pre-lab & Simulation출력 파형은 붉은색 그래프를 이용하여 나타내었음[1-1] 다음과 같은 반파 정류 회로에 대하여 정현파 입력 VS가 인가되었을 때
    리포트 | 7페이지 | 2,000원 | 등록일 2017.02.05
  • 전전컴실험III 제07Lab06 DIODE1 Pre
    (0) Purpose of this Lab.이번 실험에서는 다이오드의 특성을 알아보고 다이오드를 해석하기 위한 Modeling 방법들에 대하여 공부한다. ... 다이오드를 포함한 회로를 구현하여 다이오드의 실제 특성과 Modeling의 차이를 분석한다.(1) Essential Backgrounds (Required theory) for this Lab
    리포트 | 11페이지 | 2,000원 | 등록일 2017.02.05
  • 실험2 제09주 Lab07 Post FSM
    Introduction1) Purpose of this Lab2) Essential background for this Lab2. ... of Lab 2(8-bit Up/Down Counter)3) Measured data and description of Lab 3(Moore Machine)3. ... Result of this Lab1) Measured data and description of Lab 1(4-bit Up Counter)2) Measured data and description
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험2 제09주 Lab07 Pre FSM
    Method1) Procedure of Lab 12) Procedure of Lab 23) Procedure of Lab 33. ... Predata of this Lab1) Lab 1 of 4-bit Up Counter2) Lab 2 of 8-bit Up/Down Counter3) Lab 3 of Moore Machine4 ... Introduction1) Purpose of this Lab2) Essential background for this Lab2.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07_Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ8주차. ... #07 Sequential Logic Design, FSM and Clocked Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog ... .8-bit Up/Down CounterPre-Lab과의 비교 결과, 8-bit Up/ Down Counter가 정상 동작함을 확인하였다.Moore Vending Machine본 Post-Lab
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ7주차. ... ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험 ... bus switch 2를 올린 상태에서 button switch 1을 누를 때에만 Output data 값의 변화가 있을 것이다.Reference교안 – Verilog HDL 실습 Lab
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07-Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ8주차. ... #07 Sequential Logic Design, FSM and Clocked Counter, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog ... ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 FPGA Chip)Pre-Lab실험
    리포트 | 7페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ7주차. ... 비교 결과, 4-bit Shift Register가 정상 작동함을 확인할 수 있었다.4-bit Shift Register & One Shot EnablePre-Lab과의 비교 결과 ... switch를 누른 경우, output의 모든 bit가 0으로 초기화되므로 모든 LED가 꺼진 것을 확인할 수 있다.Discussion4-bit Shift RegisterPre-Lab과의
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 실험2 제07Lab05 Pre Mux & DMux & BCD
    Predata of this Lab1) Lab 1 of 2-bit 2:1 Mux2) Lab 2 of 1-bit 4:1 Mux3) Lab 3 of BCD to Excess-3 Code ... Method1) Procedure of Lab 12) Procedure of Lab 23) Procedure of Lab 33. ... Introduction1) Purpose of this Lab2) Essential background for this Lab2.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대