• 통큰쿠폰이벤트-통합
  • 통합검색(694)
  • 리포트(652)
  • 시험자료(17)
  • 방송통신대(12)
  • 논문(9)
  • 서식(2)
  • 자기소개서(1)
  • ppt테마(1)

"Mode II" 검색결과 1-20 / 694건

  • ELS 시험편의 모드 II 층간 파괴인성치에 관한 연구 ( A Study on Mode II InterLaminar Fracture Toughness by Using End Loaded Split ( ELS ) Test Specimens )
    한국수산해양기술학회(구 한국어업기술학회) 김형진,고성위
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 순환기능 기말 요약본
    (Amplitude mode, 진폭 표시법)B-mode (Brightness mode, 밝기 표시법)M-mode (Motion mode, 움직임 표시법)도플러(doppler)법 ? ... I=-III / III=-I / II=-II, aVR=aVF로 기록 , aVL=변화 없음- 가슴 유도. 변화 없음5. ... I=II / III=-III, aVL=aVF로 기록 , aVR=변화없음- 가슴 유도. 변화 없음3. 오른팔전극 왼발전극- 팔다리 유도.
    시험자료 | 23페이지 | 2,000원 | 등록일 2022.09.22 | 수정일 2022.09.27
  • Instrumental Analysis AFM 결과보고서
    를 넘을 때 변하게 되고 sample 과 전도성 있는 tip 사이에 전압을 가하면, 정전기력이 발생하고 cantilever 의 resonance frequency 변화로 측정된다.ii ... mode 로 구분된다. ... Contact mode 에서는 tip 과 sample surface 가 접촉하며 van der waals 에 의해 척력이 이용된다.
    리포트 | 6페이지 | 3,000원 | 등록일 2022.08.31
  • 시립대 전전설2 A+ 8주차 예비레포트
    참고 문헌전자전기컴퓨터설계실험II 강의 교안(Lab-08 Peripherals)전자전기컴퓨터설계실험II 강의 교안(HBE Combo II-DLD)전자전기컴퓨터설계실험II 강의 교안( ... change: 1kHz클럭으로 mode change하도록 하여 오랫동안 누르지 않고도 가볍게 버튼을 터치하면 바로 동작하도록 설계Count Up mode: Button Switch ... 전자전기컴퓨터설계실험 II예비보고서Lab-08 Peripherals과목: 전자전기컴퓨터설계실험 II담당 교수: 교수님학과: 전자전기컴퓨터공학부학번:이름:제출일:목차 TOC \o "1
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 시립대 전전설2 A+ 6주차 예비레포트
    신호(Bus SW8): mode=1: up counting, mode = 0 : down countingload 기능 (동기식) : 4비트 입력 데이터: Bus SW1 ~ 4 (SW1이 ... 참고 문헌전자전기컴퓨터설계실험II 강의 교안(Lab-06 Register and Counter)전자전기컴퓨터설계실험II 강의 교안(HBE Combo II-DLD)전자전기컴퓨터설계실험II ... 전자전기컴퓨터설계실험 II예비보고서Lab-06 Register and Counter과목: 전자전기컴퓨터설계실험 II담당 교수: 교수님학과: 전자전기컴퓨터공학부학번:이름:제출일:목차
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 아주대학교 자동제어실험 1번 실험 / Quarc & Elvis 실습 / 결과보고서
    Simulation mode를 External로 설정한다.2. ... NI ELVIS II Configuration1. ELVIS II와 Instrument Launcher를 사용하여 원하는 아날로그 디지털 신호를 생성, 수집할 수 있다.2. ... , 끌 때 보드 스위치와 전원 스위치순으로 꺼야한다.NI ELVIS II Launcher1.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.06
  • 임상생리학 심전도 정리본
    심장 자극 전도 계통 1) 방실결절(AV mode) -> 흥분 속도가 가장 느림 2) 심장전도 근육섬유 -> 흥분속도가 2-4 m/sec로 가장 빠름! 2. ... 심장전기축의 이상 5) 전해질 대사 이상 6) 내분비 이상, 약물의 효과 및 확인 7) 자율신경 이상 8) 기타영향을 미치는 질환 : 갑상선 및 폐질환 9) 선천성 심질환 (우흉심)II
    시험자료 | 6페이지 | 2,500원 | 등록일 2024.06.01
  • [전기회로설계실습]실습8(인덕터 및 RL회로의 과도응답(Transient Response))_예비보고서
    (ii) T=100㎲(ii) ∴f=1/T=10kHz(iii) 저항전압은 일 때(iii) 저항전압은 일 때(iii) 인덕터전압은 일 때(iii) 인덕터전압은 일 때(iii) 따라서 저항전압과 ... (수평축은 10 DIV, 수직축은 8 DIV로 나뉘어져 있다, trigger mode, trigger source, coupling(AC? or DC?) ... (i) τ=L/R=10㎲=10mH/R(i) ∴R=1kΩ(ii) T/2동안 5τ=50㎲ 정도의 시간이 흐르는 주파수가 적당하다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.04.11
  • ICU monitor REPORT
    -Auto mode는 수시로 혈압측정이 필요할 때, 1min, 5min, 15min, 30min, 1hr등 마다 Setting하여 측정하는 것.⑦ ST-II, PVC(Premature ... Manual mode와 Auto mode가 있다.-Manual mode는 간호사가 환자의 혈압을 수시로 측정하지 않고, 측정하고 싶을때 하는 것. ... ST-II, PVC-ECG회로에서 비정상적인 양상이 보이면 그에 따른 숫자가 나타나며, 카운트가 된다.?
    리포트 | 4페이지 | 2,000원 | 등록일 2020.04.30 | 수정일 2022.03.09
  • 실험1 QUARC 및 ELVIS 실습 결과보고서 (아주대 자동제어실험)
    다이어그램은 External mode를 통해 데이터 패킷을 수신하고 Simulink모델 디스플레이를 업데이트 한다. ... 다이어그램은 External mode 통신서비스가 호스트 컴퓨터의 Simulink와 하드웨어 보드의 배포된 코드 간에 생성된 연결을 보여준다.- Solver는 무슨 역할을 하며, Ode4 ... 2 Nl ELVIS II 그림 3 Nl ELVIS II※ 구성 (그림2)① Bread board② Analog Input(AI) and Programmable Functions Interface
    리포트 | 13페이지 | 3,000원 | 등록일 2021.07.16
  • IKE
    또한 1단계의 전자서명 키 옵션이 공개키 암호기법에 비해 좋은 장점에 대해서도 알아보겠다.II. 본론1. IKE란? ... 주모드와 적극모드의 가장 큰 차이점IKE1에서는 주모드(Main mode)와 적극모드가 있다. ... 사용하는 장치의 IP주소는 고정적이어야 하기 때문에, IP주소가 자주 변경되는 인터넷 환경에서 사용하는 경우에는 좋은 방법이 아니다.2) 적극적 모드(Aggressive Mode)
    리포트 | 3페이지 | 3,000원 | 등록일 2021.08.16
  • Microkernel 의 Performance
    나머지 대부분의 기능은 유저 모드(User mode)에서 작성되어 수행되는 방식이다. ... 따라서 이러한 단점을 극복하기 위해 마이크로커널이 등장했다.II. 마이크로 커널(MICROKERNEL)마이크로커널은 그림 2 와 같이 커널에 최소한의 기능만을 구현했다.
    리포트 | 6페이지 | 2,000원 | 등록일 2021.01.06
  • 영유아발달이론의 이해에서 동물행동학적 이론 중 보울비의 애착이론의 이해
    애착 유형1) 안정형 애착2) 불안-회피형 애착3) 불안-양가형 애착II. 애착형성단계III. ... 몸이 조금씩 움직일 수 있게 되면서 아기는 능동적으로 좋아하는 대상에 가깝게 추구하게 된다. 4단계에서는 유아가 작동모드(working mode)가 형성되면서 부모가 떠나는 행동을 ... 엄마가 방을 나가면 굉장히 불안해지는데, 돌아왔을 때 아이가 엄마에게 접근하는 형태가 있고, 엄마를 밀어내는 반응도 있다.II.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.05.31
  • Keras 콜백기능
    특히 콜백에서 모델 체크포인트와 Early Stopping와 같은 Keras콜백을 위주로 하여 알아보도록 하겠다.II. 본론1. Callbacks란 무엇인가? ... = ModelCheckpoint(filepath,monitor='val_loss',mode='min',save_best_only=True,verbose=1)5. ... ) filepath : 모델을 저장할 파일 경로2) monitor : 모니터링 중인 값3) save_best_only : 최신 베스트 모델을 덮어쓰지 않으려면 True로 설정4) mode
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.10
  • 누리과정 시범어린이집의 영상 중 한가지를 선택하여 영상을 기반으로 놀이실행 및 지원을 기록한 교육계획안을 작성하고, 교사로서 놀이를 지원하기 위한 아이디어와 주의점을 기술하시오..
    mode=W&brd_no=6&post_no=D9ABE7D6F9B811EB8EA7D20DE2351542II. ... mode=W&brd_no=6&post_no=D9ABE7D6F9B811EB8EA7D20DE2351542" https://www.nccw.educare.or.kr/web/ncre/move
    리포트 | 3페이지 | 1,500원 | 등록일 2024.04.11
  • 서울시립대학교 전전설2 6주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    (14)Load : 0 en : 1, mode : 1 / data : 1111(15)Load : 0 en : 1, mode : 1 / data : 0000(0)ii) down counterLoad ... : 0 en : 1, mode : 0 / data : 1011(11)Load : 0 en : 1, mode : 0 / data : 1010(10)Load : 0 en : 1, mode ... / data)i) up counterLoad : 0 en : 1, mode : 1 / data : 1101(13)Load : 0 en : 1, mode : 1 / data : 1110
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 불스원과 레드불은 모두 '빨간황소'를 표시로 사용하고 있다
    mode=0&precSeq=1731590) ... 서론II. 본론1. 상표권 유사와 무효를 위한 판단 기준2. 판례의 시사점III. 결론 및 소견IV. 참고문헌I. ... mode=0&precSeq=209129)대법원 2014. 3. 20., 선고, 2011후3698, 전원합의체 판결 (https://law.go.kr/precInfoP.do?
    리포트 | 6페이지 | 2,000원 | 등록일 2023.09.08
  • SPM 현미경의 종류/원리/특징(STM,AFM
    사이에 상호작용하는 물리량(마찰력, 전위, 근접장광, 자기력등)을 측정하는 장치 일체를 통칭하며, 그 물리량에 따라 AFM, FFM, SMM, SNOAM, MFM등으로 구분된다.II ... 수 있을 것이다.constant height mode-높이를 일정하게 하면 표면의 굴곡에 따라 전류가 바뀔 것이다.? ... STM(Scanning Tunneling Microscopy) - SPM 중 가장 먼저 개발constant current mode-전류를 일정하게 하면 표면의 굴곡에따라 높이를 측정할
    리포트 | 2페이지 | 1,500원 | 등록일 2020.12.16
  • 뇌압상승 및 뇌부종과 관련된 뇌 조직관류 저하 위험성 간호과정
    처방된 산소를 공급하였다.12/712/812/912/1012/1112/12Room airVentilator AC mode Pi(10) Fi(1.25) F(16) FiO2(0.4) PEEP ... (미달)12/712/812/912/1012/1112/12Gr.IIGr.III-IVGr.III-IVGr.II-IIIGr.II-IIIGr.II-III2. ... 퇴원 시까지 대상자는 의식수준 Gr.II 이상 유지한다.2.
    리포트 | 4페이지 | 1,500원 | 등록일 2023.02.15
  • 공정제어 기말고사 예상문제
    (d) For any input change, what functions of time (response modes) will be included in the response,y( ... Part II. 24번 문항 / Final-20-공통-3 ... (Part II. 1번 문항 / Mid-19-1-3)4[25].
    시험자료 | 3페이지 | 2,000원 | 등록일 2022.01.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대