• 통큰쿠폰이벤트-통합
  • 통합검색(132)
  • 리포트(130)
  • 자기소개서(1)
  • 시험자료(1)

"Mux and demux" 검색결과 1-20 / 132건

  • 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,MUX & DEMUX
    실험 목적MUXDEMUX의 원리를 확인 할 수 있다. Chapter 2. 관련 이론1. ... Multiplexer(MUX)먹스는 여러 개의 회로에서 입력된 신호 중에서 어느 한 입력신호를 선택해 출력회로를 전달해주는 기능을 수행하는 데이터 선택 논리회로이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • 6주차 MUX and DEMUX
    둘 중 한가지를 선택해서 출력값인 d로 정보를 전달한다. en은 Enable단자로써 en의 값이 0 일때는 출력값을 0으로 보내며, en의 값이 1일때는 Enable단자가 없는 mux
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.12
  • MUX&DEMUX 결과레포트
    실험제목: MUX & DEMUX2. ... & DEMUX 소자실험이다. ... Multiplexer 실험과 같이 a는 NAND, NOT gate를 이용하여 DEMUX를 구현한것이며, b는 회로 소자자체가 DEMUX 이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.11.22
  • MUX & DEMUX
    MUX & DEMUX5. 실험 고찰1. ... MUXDEMUX의 응용분야에 대해 실제 예를 들어가면 기술하시오.☞ MUX란 복수회로에서 입력되는 신호 중 어느 하나의 입력신호를 선택하여 출력회로에 실어 주는 기능을 수행하는 ... 그리고 라디오 신호에는 38kHz 스위칭 타임에 맞추기 위해 19kHz의 파일럿 신호라고 하는 동기 신호도 같이 보내준다.2. 81 MUX와 26 DEMUX를 설계하고, 설계한 회로도의
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.18
  • MUX & DEMUX
    MUX & DEMUX5. 실험 고찰1. ... MUXDEMUX의 응용분야에 대해 실제 예를 들어가면 기술하시오.1) MUX의 응용분야멀티플렉서의 한 예로 라디오의 튜너를 들 수 있다. ... 파일을 작게 해주는 것을 인코딩, 본래대로 재생하는 것을 디코딩이라고 한다.2. 81 MUX와 26 DEMUX를 설계하고, 설계한 회로도의 논리도를 완성하시오.1)8 x 1 MUX진리표ABCOUTPUT000D0001D4010D2011D6100D1101D5110D3111D72
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.30
  • MUX & DEMUX (예비)
    예 비 보 고 서실험 11MUX & DEMUX분 반자성 명박서영학 번20062688실험일2010년 5월 20일1.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.07.27
  • 논리회로실험) Mux and Demux 결과
    결 과 보 고 서6주차실험 5 : MUX and DEMUX1. ... MUX 일 때는 입력이 2ⁿ이고, DEMUX 일 때는 출력이 2ⁿ이다 . ... 기존에 있던 실험과는 달리 New Project를 할 때 필요한 MUXDEMUX 의 .v 파일을 직접 끌어와서 추가시켜야 한다.1. 2 x 1 Multiplexer (MUX)
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • Mux & Demux(멀티플렉서)
    다음과 같다.(1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask ... 주목할 사실은 2진 디코더가 DEMUX의 역할을 동시에 한다는 점이다. 2진 디코더의 nOE 핀을 데이터 입력 D핀으로 사용하면 DEMUX가 된다.IC decoder로 구현한 디먹스는 ... 먹스(Mux, Multiplexer)를 이용하면 여러 장치가 하나의 전용선을 공유할 수 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.09.29
  • 논리회로실험) Mux and demux 예비보고서
    예 비 보 고 서6주차실험 5 : MUX and DEMUX1. ... 기본 실험 이론1 ) Multiplexer ( MUX ) 이란 무엇인가 ? ... 은 0이 출력, sel0 값 1인 경우 i1 이 출력된다 .[ 그림 ] 1 x 2 DEMUX 블럭도② 1 x 4 DEMUX (Demultiplexer)- sel 부분이 2개 있으며
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 실험4예비[1].MUX&DEMUX
    목 적MUXDEMUX의 동작 특성을 이해하고 실험을 통해 확인한다.디지털 시스템의 기본인 가산기와 감산기의 구조 및 동작 원리를 실험을 통해 이해한다.2. ... 그래서 DEMUX를 DECODER라고 부르기도 한다.동작원리: 데이터에 해당하는 D는 모든 AND gate에 연결되어 있고, 4개의 AND gate는 S0와 S1에 연결되어 있다. ... MUX받거나 Inverter 후에 입력받는데, 입력된 신호가 모두 1이어야 출력되는 AND gate의 특성을 이용한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.27
  • 실험4결과[1].MUX&DEMUX
    MUXDEMUX 결과 PAGE \* MERGEFORMAT - 5 - ... 참고 사항MUXDEMUX의 활용그림 SEQ 그림 \* ARABIC 4. ... 하지만 실제 시스템에서는 MUXDEMUX를 활용해서 회선을 효율적으로 이용하고 있는 것이다.MUX의 확장 이용(8x1 mux → 32x1 mux)MUX의 EN핀이 활성 되어야 출력으로
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.27
  • [논리회로실험] Mux and Demux (예비)
    연습문제1) 다음 회로도의 AND gate, OR gate와 Inverter를 결선하여 2x1 Mux를 설계해 보시오.※ 도움말 : AND 게이트와 OR 게이트의 bit mask 기능은 ... 즉, 2개의 AND 게이트는 선택 입력인 S를 이용해 D0와 D1 중 어떤 것을 통과시키고(pass) 차단할(clear) 것인가를 결정할 수 있다.설계한 2x1 Mux2) 다음 회로도의 ... 다음과 같다.A AND 1 = A(pass 기능)A AND 0 = 0(clear 기능)A OR 0 = A(pass 기능)A OR 1 = 1(set 기능)이 성질을 이용하여 bit를
    리포트 | 10페이지 | 1,500원 | 등록일 2009.03.20
  • [논리회로실험] Mux and Demux (결과)
    토의 및 반성1) 이번실험에서는 muxdemux의 차이를 명확히하고 그 작동원리도 이해 할 수 있었다. ... Demultiplexing이 되는지 확인하라.입 력출 력DS1S0Y3Y2Y1Y00XXLLLL+500LLLH+50+5LLHL+5+50LHLL+5+5+5HLLL▶ 4개의 입력중 하나를 선택하는 mux와 ... 반대로 출력위치를 결정하는 demux는 D입력을 통해 항상 H가 들어가고 스위치 입력을 통해 H/L를 조절하므로써 4개의 출력중 선택하여 하나에만 H라는 결과값을 내보내주었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2009.03.20
  • VHDL-Post lab - Mux and DeMUX
    실험 이론지식 Mux and DEMUX3. ... Lecture 7MUX and DEMUX==================Contents===============Pre Report---------------------------- ... -Analyze and discuss the result 2이번에는 좀더 복잡한 74LS138 1x8 DEMUX 였지만, 다른 소스 코드를 통해서 좀더 VHDL 코딩이 Spartan
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    전자전기컴퓨터설계실험IIIPRELAB REPORT[MUX and DEMUX]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1. ... Describe the MUX and DEMUX in details다중화(multiplexing)란 다수의 채널에서 발생한 정보를 한 개의 물리적 전송 선로에 통합하여 전송하는 통신 ... 이러한 통신의 다중화 기술에 사용되는 디지털 논리회로로는 다중화기(MUX : multiplexer)와 역다중화기(DEMUX : demultiplexer)가 있다.1) 다중화기(mux
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL-Pre lab - Mux and DeMUX
    실험 이론지식 Mux and DEMUX3. ... 실험 이론지식 MUX and DEMUX1> MUX (Multiplexer)입력되는 신호들 가운데 선택된 하나의 입력 신호를 출력으로 공급하는 논리회로이다.즉, MUX는 2^n개의 입력 ... Lecture 7MUX and DEMUX==================Contents===============Pre Report----------------------------
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[MUX and DEMUX]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1. ... 실 험 소 개 (Introduction)1) Purpose of the Experiment- 조합논리회로를 이용한 MUX를 이해하고 설계를 한다.- 조합논리회로를 이요한 DEMUX를 ... 토론 및 분석 (Discussions and Analysis) HYPERLINK \l "reference" # 참고문헌1.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    Describe the MUX and DEMUX in details(1) 멀티플렉서멀티플렉서 (이하 먹스, MUX)는 여러 개의 입력 중 원하는 입력을 출력으로 연결하는 일종의 데이터 ... 실험 목적이번 실험은 MUXDEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... and DEMUX in detailsDesign a 2x1 MUXdescribe its input output signalsdescribe its functional behaviorswrite
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • [verilog hdl] 4×1 MUX & 1×4 DEMUX verilog HDL 모델링
    4×1MUX 와 1×4 DEMUX 를 verilog HDL로 모델링한 것입니다.맥스 플러스에서 정상 작동하며, 키트판에서도 정상 작동하는 것을 확인하였습니다.
    리포트 | 2페이지 | 1,500원 | 등록일 2007.12.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대