• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(526)
  • 리포트(504)
  • 시험자료(12)
  • 방송통신대(3)
  • ppt테마(3)
  • 자기소개서(2)
  • 논문(1)
  • 서식(1)

"R&TD" 검색결과 1-20 / 526건

  • [A+ 성적증명] 건국대 컴퓨터프로그래밍 2 명품 C++프로그래밍 CH.4 12주차 과제
    = &pizza; std::cout ... ; p = &donut; std::cout ... 생성4-1#include class Circle { int radius;public: Circle(){radius = 1;} Circle(int r)
    리포트 | 35페이지 | 1,500원 | 등록일 2022.07.08 | 수정일 2024.07.25
  • 진동(2020 최신)
    진동에 대해서 실험적으로 이해하자free vibrations(자유진동) & free damped vibration with rotating unbalanced mass & forced ... 실험 이론 & 실험- Natural Frequency어떤 계가 교란되어 외력이나 감쇠 없이 그 계 스스로 진동할 때의 진동수이다. ... 따라서 값이 달라졌다.**** Free damped vibration with rotating unbalanced mass & F?
    리포트 | 15페이지 | 1,500원 | 등록일 2020.10.09
  • 통계적 신호처리 Forward prediction using the steepest descent algorithm, Forward prediction using the LMS algorithm for varying step-size parameters
    * (p - R * f_std);j_fw = 1 - (f_std' * R * f_std);end*결과 분석일 때M : 3 ============================mse_y ... LMS algorithm for varying number of filter tapsCompare Wiener solution, steepest descent algorithm, and ... LMS algorithm% Forward the steepest descentf_std = zeros(M,1);for i = 1 : N_samp-1f_std = f_std + mu
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.13
  • 건국대학교 전기전자기초설계및소프트웨어실습 4주차 레포트 A+
    3학년 전기전자기초설계및실습전기전자기초설계및소프트웨어실습담당교수 :실험날짜 :학번 :이름 :1.TitleC Programming Language : Structures and File ... 파일의 모드는 파일의 유형에 따라 b(바이너리)와 t(텍스트)로 구분되며 입출력 방향에 따라서 r(읽기), w(쓰기), a(덧붙이기)로 구분된다.○ 파일 입출력 함수 : fputc ... ;std1.grade = 'A';std1.mid_term = 97;std1.final_term = 92;std1.attendance = 10;printf("std1's grade is
    리포트 | 25페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    그런데 입력 신호를 std_logic이 아닌 unsigned형으로 해보려고 하니 xor, and, or 등의 연산이 정의되어 있지 않다는 오류 메시지가 떴다. conv 함수를 이용해보려 ... 연산은 and, or, xor, + 4가지이다.선택출력S1S0Y00A + B01A or B10A and B11A xor B2.소스코드 설명컴퓨터는 최상위 비트를 부호비트로 인식한다. ... 우리는 그런 것을 고려하지 않고 덧셈을 하기를 원하기 때문에 unsigned 타입의 연산을 해야한다. std_logic_vector의 unsigned 덧셈은 std_logic_unsigned에
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 아주대 전자회로실험 실험3 적분회로 예비보고서
    값이 감소하게되어 저항R _{S}의 영향이 커지게 되어 기존의 반전증폭기와 같은A _{v} =- {R _{F}} over {R _{S}}의 Gain을 가지게되고, 주파수가 공진주파수보다 ... 인 주기와 +인 주기를 측정한다.- 삼각파 설정방법Pspice에서 삼각파가 없어 Pulse를 이용하여 삼각파를 만들어야하는데, 이때 설정해야되는 값은 V1, V2, TD, TR, TF ... 다음으로 TD, PW는 각각 Time Delay와 Pulse Width time 을 의미하는데, 회로에서 Delay를 따로 명시하지 않았으므로 0으로 설정하고, 삼각파의 경우 Pulse
    리포트 | 6페이지 | 1,000원 | 등록일 2021.07.22
  • [분석화학실험] Use of anlytical balance and glass wares
    Title: Use of analytical balance and glass wares2. Date : 2020/03/254. Principle & Object4-1. ... James Holler, Stanley R. Crouch; 분석화학 강의; 사이플러스: 서울, 2016, 1692) Douglas A. ... James Holler, Stanley R. Crouch; 분석화학 강의; 사이플러스: 서울, 2016, 383) Douglas A. Skoog, Donald.25)
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.15
  • 7. 논리함수와 게이트 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    ,TR=1ns, TD=0V2 : High5V, low=0V, PW=0.5us, PER=1us, TF,TR=1ns, TD=0으로 설정하면 00,01,10,11인 경우 모두 확인 할 수 ... , V2 = 1 의 시간대의 delay를 측정하고,t_{ pdf} 의 경우 V1 = 0, V2 = 1 혹은 V1 = 1, V2 = 0 의 시간대의 delay를 측정한다.t_{ cdr} ... 0, V2 = 1 혹은 V1 = 1, V2 = 0 시간대의 delay를 측정하고,t_{ pdf} 의 경우 V1 = 0, V2 = 0 의 시간대의 delay를 측정한다.t_{ cdr}
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.06
  • 한국방송통신대학교 컴퓨터과학과 2020학년도 2학기 C++프로그래밍 기말과제물
    );};#endif파일명 : Person.ccp#include #include #include "Person.h"using namespace std;Person::Person(const ... ‘a=b’의 경우 a피연산자에 대입할 b연산자가 대입 후 그 내용이 더 이상 필요 없는 r-value인 것이다. a의 기존 메모리를 반환하고 b 피연산자의 내용을 복사하지 않고 b가 ... 깊은 복사를 하면 데이터가 별개의 객체가 되어 안전하게 데이터를 저장 하지만 곧 소멸 될 객체까지 깊은 복사를 하는 것은 불필요한 작업을 하게 되는 것으로 비효율적이다. r-value참조를
    방송통신대 | 10페이지 | 4,000원 | 등록일 2022.07.19
  • 2020) 방통대 C++(cpp) 기말시험 (참고용)
    );Person(Person&&human);Person& operator=(const Person &human);Person& operator=(Person &&human);void ... -----------소스코드 4-9---------------------------#include #include #include "Person.h"using namespace std ... 특히, 클래스의 크기가 큰 경우 이러한 손실은 커진다. r-value 참조를 사용하는, 이동 생성자(move constructor)를 사용한다.
    방송통신대 | 13페이지 | 5,000원 | 등록일 2020.11.15 | 수정일 2020.11.30
  • 건국대학교 마이크로프로세서응용 Lab7 A+
    ********************************************;* Lab7-2 : 1/100 Stop Watch with Stop/Go function(SW5) and ... :ldd temp, Y+3inc tempcpi temp, 10breq Update10secstd Y+3, tempjmp UpdateDoneUpdate10sec:ldi temp,0std ... templdd temp, Y+2inc tempcpi temp,6breq Update1minstd Y+2, tempjmp UpdateDoneUpdate1min:ldi temp,0std
    리포트 | 17페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 한기대 전동기구동및실습 21 기말 족보
    If the toque speed curve of induction motor(V1,r1,f1) is as follows. ... From the following equations regarding separately excited DC motor. (20)1) Drive the torque(Td), speed ... If it is required to maintain a constant developed torque of Td = 500 N/m, Determine motor speed in rad
    시험자료 | 2페이지 | 2,000원 | 등록일 2022.06.18
  • 성인간호-ER spleen rupture 다발성 외상 통증 출혈위험 감염
    flat abdLt shoulder pain / Td / LOM (+/+/+)Lt chest well Td/crepitus (+/+)Lt Knee pain / Td / LOM (+ ... strecher car로 내원함Initial Vital Sign:혈압 : 130 / 80 mmHg, 맥박 : 80회 / 분, 호흡 : 20 회 / 분체온 : 36.0 ℃(A, O, R, ... Lt rib fracture in 6-9th and 11th rib3. fracture of Lt transverse process of L1& L24.
    리포트 | 6페이지 | 3,000원 | 등록일 2020.03.16 | 수정일 2020.03.19
  • [성인간호학실습] 급성위염 case study 간호진단 및 간호과정(3개)
    &poor oral intake->경감-fever(+)-r/o PMC-환자 소: 설사,구토CL99~11098▼90▼91▼94▼100▼감소: 설사,구토Glucose70~110(mg/dL ... -no abdominal Td-[급성 설사 원인 바이러스, 세균 선별검사] : negative-hydration & supportive care, antibiotics 유지3/30 ... 15:244/1 11:49-Diarrhea, nausea-poor oral intake-abdominal pain-no abdominal TD-hydration & supportive
    리포트 | 18페이지 | 3,500원 | 등록일 2023.07.10 | 수정일 2023.12.13
  • 세아상역 기업분석
    -디자인세계 ODM 의류메이커 선두주자인 세아상역㈜은 지난 2000년대 초, 한국 의류 수출업계로는 최초로 디자인 팀을 설립한데 이어 R&D팀과 TD(Technical Design) ... -연구개발세아상역㈜은 Fabric R&D팀을 중심으로 국내외 Fabric 시장조사를 통해 Trend를 분석하고 새로운 Fabric을 기획 개발하고 있습니다. ... 핏 리뷰다양한 고객을 위한 공인된 Self fit approval각각의 고객에 맞는 TD 전문가 지정International Branch Offices Conduct Trend Research패브릭
    자기소개서 | 4페이지 | 2,000원 | 등록일 2020.01.03 | 수정일 2020.06.23
  • RC 시상수 실험(예비보고서) 물리학 및 실험
    알아본다.실험이론축전기와 전기저항으로 구성된 R-C회로에 키르히호프 제 2법칙을 적용하면R*dQ/dt+1/C*Q=이 된다. ... q=tbn%3AANd9GcTI-0TlBlaevrXhlotnw0c977HttoMhcgLXeQ&usqp=CAU" \* MERGEFORMATINET Vc(t)=1/C*Q(t)=(1-e^( ... 또한 과정5에서 전선을 연결하여 방전시키는 과정에서 전위가 1.0V인 순간부터 0.368V가 될 때까지 걸리는 시간 td를 측정한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.18
  • 정형외과 의사를 위한 모든 pediatric fracture (소아 골절) 상세 설명
    Timing of Ossification in the Elbow C-R-I-T-O-E 1-3-5-7-9-11Fat pad sign - 관절내 출혈이나 부종 - 관절막 팽윤 - 지방체 ... #3 never attempt after TD #5 Reduction Method periosteal hinge on compression side Recognization of ... physeal injuries ★ Goal of treatment : To maintain function normal growth Immediate management within TD
    리포트 | 97페이지 | 10,000원 | 등록일 2024.06.03
  • 췌장암케이스 간호사정(간호과정 아님)
    시행한 검사상 ECG n/s, CT r/o pancrea tail cancer, 4cm sized with multiple hepatic nodbile r/o metastasis with ... TD(검사시 맞추어 NPO)4. 키, 몸무게 TPR 입력, 자가약 확인, 의정내리고 용법, 용량 입력 해주세요.5. check BST#4(DM환자)> 250s/s, by H.6. ... ·soft&tender, flat·bowel sound: normoactive bowel sound·Abdominal tenderness(-)Ext.
    리포트 | 5페이지 | 1,000원 | 등록일 2024.03.21
  • [간호학과] 인수인계 화면 구성, 시나리오
    ]주치의오oo담당의OS일반의진단명㈜ Fracture of distal ulna and radius, closed▼수술명O/R & I/F for radius and ulna with ... 인수인계를 위한 표준화된 카덱스 화면 구성등록번호 00000000 백OO 성별/나이 의료급여 0종[정상]8AW[8**] 입원일자 : 2020-08-17 HD[3] POD[1] TD[ ... IMP(+/+) 고령 OP → 수술 전 DM조절 후 OP진행IMC(+/+) OP → OP진행IME(+/+) OP → 일상식이가 어려운periop period DW+RI(Humulin R)
    리포트 | 4페이지 | 1,500원 | 등록일 2021.03.21
  • 2장 자료형과 연산자
    pi=3.141592; 06 int r=5; 07 double area; 08 09 area=r*r*pi; 10 11 cout 반지름이 r 인 원의 면적은 area 이다 . ₩ n ... , 비트 OR, 비트 XOR, 비트 NOT 연산자 대응 피연산자 비트 비트 AND 비트 OR 비트 XOR X Y (X Y)쪽으로 오는 피연산자 개수만큼 이동한다 . ... 연산자 명칭 의미 비트 논리곱 (AND) 두 피연산자의 대응되는 두 비트가 모두 1 이면 결과 비트는 1 이 된다 . | 비트 논리합 (OR) 두 피연산자의 대응되는 두 비트 중 적어도
    시험자료 | 51페이지 | 4,000원 | 등록일 2019.10.02
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대