• 통큰쿠폰이벤트-통합
  • 통합검색(1,431)
  • 리포트(1,316)
  • 시험자료(70)
  • 서식(19)
  • 자기소개서(8)
  • 논문(6)
  • 이력서(6)
  • 방송통신대(4)
  • ppt테마(1)
  • 노하우(1)

"R-sports" 검색결과 1-20 / 1,431건

  • [해양수산부] 어획실적보고서
    (CRUSTACEA)연체동물(MOLLUSCA)기타가자미류(FLOUN-DERS)명태(POLLA-CK)병어(POMFR-ET)참조기(REDLIPCROAKE-R)기타조기(OTHERCROAKE-R ... Iron)허가ㆍ승인번호(License orAuthorizationNo.)입항일(Port EntryDate)본선총톤수(MotherShip'sTonnage)허가 또는 승인을 받은자의 성명 ... 성명(Captain'sName)출항일(Port Departure Date)조업일수(FishingPeriod, indays)(단위 : M/T)MONTHTOTAL어류(Fishes)갑각류
    서식 | 1페이지 | 무료 | 등록일 2023.03.13
  • [환경부] Receipt & Completion of Disposal of Waste Imported- Notification Form
    to R10R12 Exchange of wastes for submission to any of the operations numbered R1 to R11R13 Accumulation ... into seas/oceans including sea-bed insertionD8 Biological treatment not specified elsewhere in this ... Port/place of dischargekg:㎥:Completion of the disposal of the waste importedRecoveryDisposal11.
    서식 | 2페이지 | 무료 | 등록일 2023.03.14
  • cdp mac 공격 원리 및 실습 자료
    MAC Flooding Attacking SW01 SW02 Kali Linux f1/0 f1/0 f1/8 R1 f1/2 f0/0 R1 f0/0 #macof. ... switchport port-security switchport port-security mac-address x.x.x.x switchport port-security violation ... switchport port-security switchport port-security maximum 5 switchport port-security violation [protect
    리포트 | 6페이지 | 2,000원 | 등록일 2022.05.23
  • 건국대학교 마이크로프로세서응용 Lab6 A+
    ; Enable Interruptclr r0 ;ser temp ;out DDRC, temp ; Set Port C as outputsldi temp, $0F ;sts DDRG, temp ... 마이크로프로세서응용(3316)HW6Lab 6#6-0 : Display Multiple Segments#6-0 소스 코드 :;******************************** ... , temp ;adc ZH, r0 ;lpm FND_Data, Z ;out PORTCigh(((F_CPU/1000)-18)/4) ;[1]delay1ms_01:sbiw YH:YL, 1
    리포트 | 19페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • color_sensor_code
    //PD3-0 == 0x0Fvoid PortD_Init() {SYSCTL_RCGC2_R |= CLOCK_GPIOD; // (a) activate clock for port Adelay ... ~PD3210_HIGH; // pull-down resistors on PD3210GPIO_PORTD_DEN_R |= PD3210_HIGH;//Enable digital}//Port ... /PC7654:TIVA_Output -> Bread Board#define CLOCK_GPIOC 0x04#define PC7654_HIGH 0xF0 //PD7-4 == 0xF0void
    리포트 | 12페이지 | 15,000원 | 등록일 2021.12.05
  • 항해사 DECK LOG BOOK 기사 정리
    steering gear,bridge & E.C.R. telegraph, whistles, running lights, navigational &communicational equipment ... list related at the port of ( Name ).a) Crew mustered in Fire-fighting station with the G. alarm by ... ]Prior to departure "NAME OF PORT", tested and checked the ship's steering gear required by SOLAS Ch.
    노하우 | 10페이지 | 3,000원 | 등록일 2024.05.07
  • 정보기기운용기능사 실기 명령어
    )#switchport mode access포트 모드를 엑세스로 지정Switch(config-if)#switchport port-security포트에 보안설정Switch(ate ... (config-if-range)#switchport mode access포트 모드를 엑세스로 지정Switch(config-if-range)#switchport access vlan ... password 1111R2 chap 설정R2(config)#int s0/0/0R2 chap 설정R2(config-if)#no shutdownno shutR2 chap 설정R2(config-if
    시험자료 | 4페이지 | 1,500원 | 등록일 2022.12.19
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 Delay Loop Routine을 이용한 LED 점등 설계실습 예비보고서
    실습 목적- AVR 마이크로 컨트롤러의 구조 및 동작 원리의 습득- I/O port 출력을 통한 주변 장치 제어 프로그램의 실습- Atmel studio (AVR 마이크로 컨트롤러 ... 따라서 수정한 코드는 다음과 같다.MAIN:LDIR16,0b10100000; OUTPORTD,R16; Port D data register에 0b10100000을 write; 하여 ... 1초동안 점등하도록 한다.LDIR16,0b00000000; 2번 4번 led offOUTPORTD,R16CALLD1000MS; 1초마다 점등해야 하므로 1초 뒤 Port D data
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • 어깨 질환 SOAP NOTE 작성 예시[스포츠의학]
    S - 34 Male 186 sport - weight training 3/w feeling R shoudler dropping. SA, RH feels weak. ... No pn R shoulder impingement hx due to weight lifting. Minor n/t in R shoulder 3yrs ago. ... Dec exercise since then. Receiving rehabilitation for 3 month. - sling, manual therapy.
    리포트 | 1페이지 | 2,000원 | 등록일 2022.11.29
  • patient monitor, 환자모니터 A+ case/ 문헌고찰
    체내 수분부족,-> sudden elevation CVP -> r/o 체내 수분 과다, r/o cardiogenic disease, r/o pulmonary disease- 정확한 ... 위한 지표- CVP에 따라 cardiogenic disease, dehydration, pulmonary disease 등을 확인 가능-> sudden drop CVP -> r/o ... edema## PAP, PCWP 측정을 위해 balloning port(Red line)에 1.5cc의 공기를 천천히 주입하고, 주입 후 15sec을 넘기면 안됨(d/t infarction
    리포트 | 8페이지 | 2,000원 | 등록일 2021.05.03 | 수정일 2022.09.02
  • 음성인식 도어락
    = 1;serv_cnt=0; ANG = 6;}else //{key_4bit_flag = 0;a=0;LED_R_ON;}}}//4개의 키(암호)를 입력 받고 분석[끝]if (rx_d ... P3 &= ~0X60#define LED_R_OFF P3 |= 0X60unsigned char rx_dt;/******************/int a = 0;부져 관련unsigned ... , 타이머0모드2 */TR1 = 1;TR0 = 1;ET0 = 1;/* Xtal 이 20M 일때 20M / 12분주 = 0.6usec *//* 0x100 - 22 = 0xEA , 22
    리포트 | 30페이지 | 3,500원 | 등록일 2023.09.23 | 수정일 2023.10.10
  • 침습적 절차와 관련된 급성통증 간호과정
    매 1시간 간격으로 대상자의 V/S를 측정하였다.14:0015:0016:0017:00호흡수(R)24242221체온(T)37.737.5367.537.0혈압(BP)130/70130/60125 ... 대상자에게 chemo port 관리법을 교육하였다.-> chemo port를 삽입해도 외관상으론 티가 안나며,통목욕과 수영이 가능하다.-> chemo port는 감염되지 않도록 소독을 ... ”O : chemo port의 관리법을 인지못하고 있음.chemo port 삽입부위 발열이 있음.대상자 V/S:130/70mmHg 78회/분 22회/분 37.7도간호목표단기목표장기목표1
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.18
  • [기획재정부] 외국인관광객 물품판매확인서
    내용Description of Goods수량Quan-tity단가Unit Price세금 포함 판매가격Price Including Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D ... for Refund일련번호NO.물품 내용Description of Goods수량Quan-tity단가Unit Price세금 포함 판매가격Price Including Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D ... Price세금 포함 판매가격Price Including Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D.12345678910판매 연월일Date of
    서식 | 2페이지 | 무료 | 등록일 2023.03.11
  • [기획재정부] 외국인관광객 물품판매 수기확인서
    for Refund일련번호NO.물품 내용Description of Goods수량Quan-tity단가Unit Price세금 포함 판매가격Price Including Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D ... for Refund일련번호NO.물품 내용Description of Goods수량Quan-tity단가Unit Price세금 포함 판매가격Price Including Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D ... Tax부가가치세V.A.T.개별소비세I.C.T.교육세E.T.농어촌특별세S.T.R.D.세액 계Total Tax송금비용 등Administrative Charge송금세액Amount of
    서식 | 2페이지 | 무료 | 등록일 2023.03.11
  • 서강대학교 23년도 마이크로프로세서응용실험 7주차 Lab07 결과레포트 (A+자료)
    window0x08000305에서 LSB를 0으로 바꾼 0x08000304에는 “push{r4,r5}”부터 시작하는 ISR 명령어들이 위치한다는 것을 확인할 수 있다. ... A의 pin 0를 클릭했을 때의 변화그림 18-1처럼, port A의 pin 0를 클릭함에 따라 메모리에 저장된 데이터가 0x4A -> 0x49 -> 0x48…로 1씩 감소했고, ... EXTI_IMR에는 원래대로 bit 0와 bit 13을 둘 다 1로 만들어야 두 인터럽트가 enable된다.그림 19-2: port A의 pin 0를 0->1로 변경한 결과그림 19
    리포트 | 36페이지 | 1,000원 | 등록일 2024.03.24 | 수정일 2024.09.02
  • (영어 레포트) Academic English/ data analysis
    The value of r in this case indicates that there is a negative relationship of week strength between ... In the case of Figure 1, the t-statistic value is larger than the critical value. ... diagram, including correlation analysis, and t-distribution hypficient--0.860.890.70FindingsFigure SEQ
    리포트 | 7페이지 | 2,000원 | 등록일 2022.08.01
  • 건국대학교 전기전자기초설계및소프트웨어실습 5주차 레포트 A+
    SetCommState(hSerial, &dcbSerialParams)){printf("ERROR, Setting serial port state");}timeouts.ReadIntervalTimeout ... /windows/desktop/api/winbase/nf-winbase-setcommstate 참고if (! ... /windows/desktop/api/winbase/nf-winbase-setcommtimeouts 참고if (!
    리포트 | 24페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 상급건강사정 숙제 / history taking / physical examination
    PH· seasonal hay fever in spring· 사고, 외상: Hx of bilateral knee and ankle sprains r/t sports injuries ... Plan1) Right knee strain, R/O R medial meniscus injury, R MCL injury, Patellar subluxationdiagnostic ... Assessment1) Right knee strain, R/O R medial meniscus injury, R MCL injury, Patellar subluxation2) Rt.knee
    리포트 | 2페이지 | 1,000원 | 등록일 2023.09.23
  • 구증권약관과 구협회적하약관
    (가) A/R약관(all risks clause)This insurance is against all risks of loss of or damage to the subject-matter ... C. & S. clause), 제13조 동맹파업 ? 소요 ? 폭동 부담보약관(F. S. R & C. ... &S. clause), 동맹파업 ? 폭동 ? 소요 부담보약관(F. S. R. &C. C. clause) 및 항해중단부담보약관(frustration clause) 등이 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2024.07.26
  • 난소낭종, LSC BSO 케이스스터디 - 간호과정 3가지 및 사정자료
    Two other 5-mm ports were placed at both lower and suprapubic area for assistant port.3. ... A 1cm-length vertical skin incision was made in the umbilical depression. 10mm port was inserted and ... 급성 통증 r/t 수술 부위의 조직 손상 및 방사통간호사정주관적 자료- “배가 우리하게 아파요”(9/12 10:00)- “어깨가 아파요”(9/12 18:00)- NRS 3점 측정됨(
    리포트 | 26페이지 | 2,000원 | 등록일 2023.11.04 | 수정일 2023.11.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:47 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대