• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(128)
  • 리포트(113)
  • 자기소개서(10)
  • 시험자료(3)
  • 방송통신대(2)

"SPARTAN3" 검색결과 1-20 / 128건

  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    전자전기컴퓨터설계실험2Spartan 3E Board를 사용한 Digital Clock 설계제출일자: 2010-12-14지도 교수: 김규식 교수님지도 조교: 김경훈 조교님2009440088 ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을 ... ) PAGEREF _Toc280057377 \h 3 Hyperlink \l "_Toc280057378" i.이진 계수기 PAGEREF _Toc280057378 \h 3 Hyperlink
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • SPARTAN-3E 보드 스위치 응용
    전자전기컴퓨터설계실험2Postlab ReportMUX & DEMUX제출일자학 과담 당 교 수담 당 조 교조원학 번이 름IntroductionMUX 와 DEMUX의 동작원리에 대해 알아보고 2 X 1 MUX와 1 X 8 DEMUX의 VHDL code 를 구현한다.MUX(..
    리포트 | 7페이지 | 2,000원 | 등록일 2010.04.25
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    Post-Lab ReportLab#02[HBE-ComboⅡ-SE] board[Xilinx Spartan3] FPGA chip[ISE] digital design tool담당 교수강 ... simulator)-XST (Synthesis tool)MethodsAND Gate 프로젝트 생성Top level Source : SchematicFPGA Chip SelectFamilly : Spartan3Device ... Introduction3가. Purpose of this lab3나. Essential backgrounds32. Materials & Methods9가. Materials9나.
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • Spartan 3 E Board Digital Watch(디지털 시계)
    기본 원리는 초의 정확성을 만족 시키기 위해 Spartan-3E 보드의 기본 Clock(50MHz)을 이용하였다. 1초에 50MHz로 진동하는 클럭을 카운트 하여 1초를 발생시키는
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    Spartan-3 board 사용법 설명우리가 수업시간에 사용하게 될 Board는 정확하게 Spartan 3e Starter Board 이다.- Spartan 3E starter Board ... Spartan-3 board 사용법 설명3. Lecture2- decoder 실습1) 실험 목적2) 실험에 필요한 기본 이론3) 실험 순서A. ... Lecture2- decoder 실습1) 실험 목적Xilinx ISE 9.2i와 Spartan 3E –starter board의 사용법을 익힌 후, 3to8 decod.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • PostLab> Usage of xilinxISE on Spartan-3 설계실험 자일링스 및 스파르탄 사용법
    Spartan 3E Board 실습실제로 Spartan 3E Board를 보니 생각 했던 것 보다 크기가 무척 작다. 크기가 작은 만큼 험하게 다루면 안된다. ... Program을 IER 9.2i -> Spartan 3E Board로 이동시키기a. ... 이제 Program을 Spartan 3E Board로 옮겼으니 직접 실습을 해보자.A.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.02
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    기반으로 300 mm 웨이퍼상에서 제조된 Spartan-3 제품군은 업계 최고의 공정 기술을 자랑한다. ... Family, Device, 그리고 Package 탭을 보이는 바와 같이 각각 Spartan3E, XC3S500E, FG320으로 맞춘 다음 넘어간다.(4) Creat New Source창에서 ... Spartan-3 Board발전배경19년 전 Xilinx는 FPGA(Field Programmable Gate Array)를 최초로 출시하였다.
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    그것은 Spartan-3 보드를 컴퓨터에 인식시켜 제대로 동작하게 하는 방법을 확실하게 알지 못하고 있었던 것이다. ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[The Usage of Xilinx ISE on Spartan-3]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l ... 실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램과 Spartan-3 board의 사용방법을
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • [영화감상문]윈드리버,300,세상을 가져라
    3. 세상을 가져라(Le Monde est a toi)3-1. ... 의 밈과 패러디로 다소 희화화 되었고 (2008년 패러디 영화인 Meet The Spartans라는 물건까지 나왔다.) ... 마블의 어벤져스로 유명한 제레미 레너와 엘리자베스 올슨이 주연을 맡았다.1-3.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.03.18
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 2.Schematics - 예비+결과+성적인증 (서울시립대)
    How many programmable logic gates are there in one of our FPGA(XC3S200, Spartan 3 Family, Xilinx)? ... Configuration을 해보고, Verilog HDL을 이용한 설계를 익힐 준비를 마친다.배경 이론 및 사전 조사 실험 전에 조사한 답과 다른 것을 우선 순위로 작성하였다.[3] ... Note)이때, 실험에서 사용하는 XC3S200 FPGA의 구성은 다음과 같다.∴ 16-to-1 MUX = 2-to-1 MUX ×15=4×15 =60 NANDs∴ 4-input LUT
    리포트 | 14페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.13
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition3) XILINX DS099 Spartan-3 FPGA Familiy ... 있으며 EPROM 방식(ALTERA가 그 예)도 사용한다.- VHDL 또는 Verilog HDL 언어를 사용하여 디자인한다.- 본 실험에서 사용하는 Xilinx 사의 FPGA인 Spartan ... -3 XC3S200은 4320개의 로직 셀을 포함한다.b.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • [서울시립대] A+ 전자전기컴퓨터설계2 2주차(Schemetic)결과레포트(예비레포트포함,시립대)
    전자전기컴퓨터설계실험 2결과 레포트실험 제2주(2021. 10. 12)Lab#02 『Xilinx Spartan3』 FPGA chip,『ISE』 digital design tool학번 ... (전화번호로 테스트 하라고 교안에는 나오지만, 테스트하기엔 적절치 않은 휴대폰 번호라서(본인기준) 그냥 임의로 넣어서 테스트해봄)(이때 a[3:0] b[3:0]은 순서대로 bus 스위치 ... =1 s[3:0]=0000 으로 잘 나옴을 확인하였다.4. ucf 파일을 생성하여 Marker와 Pin을 각각 연결한다.5.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.12.30 | 수정일 2022.01.03
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    그리고 출력 Y가 ADDER의 입력이 되고 ADDER에 의해 출력 D가 결정된다.실험 준비물MyCAD (라이브러리는 Spartan2 사용)실험(1) MyCAD를 이용하여 의 (a)와 ... 실험 12. 4-비트 산술논리회로(예비보고서)실험 목적(1) ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 ... 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) 의 2x1 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(3) 의 4x1
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    Spartan-3 FPGA Attributes- 20만개의 논리 게이트가 구현 가능하다.(3) 본 실험 장비인 HBE Combo-II SE는 FPGA 칩의 동작을 실험 할 수 있는 ... 어떤 FPGA에는 다른 부분이 계속 동작하는 동안 소자의 일부분을 재프로그램하는 부분적 재설정의 기능이 있다.(2) 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 ... Family이고 그 중에 XC3S200 device이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    .- 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 Family이고 그 중에 X서 조사하시오. 또한, 출력 장치로 LED1을 사용할 때에는 몇 번 pin인가? ... 본 실험에서 사용하는 것은 Spartan 3 이므로 오른쪽 열을 참고하면 된다.Combo-2 SE 활용설명서 부록을 참고한 결과 Button SW1을 사용하려면 63번, LED1은 ... 프로젝트명과 저장 위치를 정하고 schematic type을 선택한다.3. 아래와 같이 project setting을 설정한다.4.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • Where I Lived, and What I lived For 영문 및 해석
    .^3)^4)(^5)(^6)^7^8{"name":""}{"name":""}..FILE:Contents/section0.xmlWhere I Lived, and What I Lived ... 수많은 가정도 다를 바가 없다.and the only cure for it, as for them, is in a rigid economy, a stern and more than Spartan ... 이런 목표를 단념하고 싶지 않다.I wanted to live deep and suck out all the marrow of life, to live so sturdily and Spartan-like
    시험자료 | 8페이지 | 1,500원 | 등록일 2024.06.19
  • 영미 단편소설 A Rice Sandwich 번역
    그들은 굶는 것이 좋다고 생각해 왜냐면 영화 ’300 Spartans‘에서 그걸 봤거든.”“나는 스파르타인이 아니고 그걸 증명하기 위해 가냘픈 손목을 가지고 있지. ... 그리고 다음날 아침 나는 엄마가 쓴 편지와 쌀 샌드위치를 가지고 학교에 갔다, 왜냐하면 점심으로 먹는 고기가 없기 때문이다.3. ... 좋아하는 딸이 어디있나하고 너는 울거야, 그리고 내가 마침내 오후 3시쯤에 집에 왔을 때 당신은 나를 환영할거야.”“알았어, 알았어,”라고 엄마가 삼일 후에 말했다.
    리포트 | 2페이지 | 1,500원 | 등록일 2019.10.18
  • (대학영어) A. Unit 1. The Canterville Ghost
    그녀는 의사를 찾아가기 전까지 몇 년이나 엉덩이 쪽의 통증을 견뎌냈어They can endure no more 그들은 더 이상 견딜 수 없어We need to be Spartan to ... )1) 위 문장을 우리말로 해석한다.2) 위 문장에서 사용된 관계대명사 who에 대해 자세히 설명하고, 관계대명사 who를 사용하여 새로운 문장을 3개 영작한다.3) Those who ... 다른 의미로 사용된 경우의 예문을 사전에서 찾아 3개 제시하고 번역한다.2.
    방송통신대 | 5페이지 | 2,000원 | 등록일 2024.07.31
  • 기기및물리화학 Gaussian 09W를 이용한 최적화된 분자 구조 완성 예비보고서 [계산화학]
    화합물을 다루는 화학에서는 화합물의 데이터베이스 구축과 운용이 매우 중요하다.- 계산화학이 사용되는 분야계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan ... 분자의 운동(진동)모드의 수분자의 진동 모드는, 그 분자를 구성하고 있는 원자의 수(N)에 관련된다.- 선형분자 : 3N-5- 비선형 분자 : 3N-6아세틸렌의 경우 H-C≡C-H ... (이면각이란 원자와 3개의 다른 원자들로 형성된 평면 사이의 각을 말한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.06.21
  • 전전설2 실험2 예비보고서
    (이 룩업테이블이 램으로 구성되어 있다.)[2-3] 본 실험에서 사용할 FPGA는 Xilinx 사의 Spartan 3 Family 이고 그 중에 XC3S200 device 이다. ... B1 loc = p71; //스위치4net A2 loc = p68; //스위치5net B2 loc = p74; //스위치6net A3 loc = p50; //스위치7net B3 loc ... 각 입력된 캐리와 입력받은 A,B를 풀에더로 계산하고 출력값을 S를 통해 내보낸다.3.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대