• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(962)
  • 리포트(913)
  • 시험자료(27)
  • 방송통신대(9)
  • 논문(7)
  • 서식(6)

"STD11" 검색결과 1-20 / 962건

  • STD11의 선삭 시 절삭력 예측 및 요인별 상관계수 도출
    한국기계기술학회 이이선, 배명일
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 세라믹공구를 이용한 금형강(STD11)의 선삭가공 시 가공 조건 결정 및 표면 거칠기 예측
    한국기계기술학회 이이선, 이동주
    논문 | 7페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [레포트] STD11 열처리 전후 경도 불꽃시험
    목차1.STD11 란? ... 2.특성3.화학조성4.열처리 조건과 경도-불꽃모양-STD11과 STS3 구별법-열처리 싸이클-열처리 전후의 경도5.열처리 조직6.용도7.고찰1.STD 11이란? ... 합금공구 강이며 약자는 S: Steel T: tool D:die-casting이고 종류로는 냉간가공용: STD1,STD11, STd12,STD2 열간가공용 :STD4,STD5,STD6
    리포트 | 6페이지 | 1,500원 | 등록일 2015.08.31 | 수정일 2015.09.29
  • STD11 조직 관찰
    1.실험제목 STD11 현미경 조직 관찰하기작업 시방서 및 결과 보고서2.실험 목표금속 조직 관찰용 시험편을 제작하고 연마를 한다.금속재료 STD11에 맞는 부식액을 조제하여 부식한다.금속조직 ... 관찰 실험을 위해 시험편을 제작한다.시험편을 제작하기 위해서는 STD11 가 있어야 되는데 이것은 학교에서 미리 잘라놓은 봉상의시험편을 이용하였다. ... 현미경의 원리와 조작 방법을 안다.금속 현미경으로 조직을 관찰 한다.재료명STD11기계 및 공구Sand Paper 100#~1500#, polishing장치 ,질산 부식액, 금속
    리포트 | 7페이지 | 3,000원 | 등록일 2009.08.24
  • 합금공구강 STD11 열처리
    사용될 수 있다.STD11강은 공랭으로도 충분한 경도를 얻을 수 있다. ... ▲ Hardness Test :▲ 같은조건 : 1시간씩 공랭▲ [표3] 5월 7일 STD11의 템퍼링온도에 따른 경도변화 ( T₂)▲ [그래프1] STD11의 템퍼링온도에 따른 로크웰경도값13 ... [그림2] STD11강의 잔류오스테나이트에 미치는 퀜칭온도 맻 냉각속도의 영향?
    리포트 | 11페이지 | 4,000원 | 등록일 2008.09.16
  • 금속재료 조직 판별
    → 희미한 얼룩말 무늬 및 1000배에서의 반점 형태 입자 확인 → STD61→ 500배 이상에서의 막대 형태의 백립상이 드문드문 관찰됨 (STD11 대비 확실히 양이 적음)→ SKH51 ... 적절히 큰 구상의 입자들이 관찰된다 → 1000배 확인 →STC3→ 500배 까지 들어갔는데 굵은 상들만 보이고 구상인지 아닌지 미세 입자들의 모양은 잘 모르겠다 → STS3 or STD11 ... 변하는 경향이 관찰되었으니 참고하시면좋을 것 같습니다.→ 1000배에서 드디어 속이 빈 형태의 미세한 구상 입자가 관찰된다 → STS3→ 1000배까지 오니까 검은 반점이 많다 → STD11
    리포트 | 11페이지 | 2,000원 | 등록일 2022.11.25
  • 탄소량에 따른 퀜칭경도
    그러나 STD11 같은 예외도 존재합니다 . STD11 은 잔류 오스테나이트 때문에 STC3 종 보다 탄소량이 많지만 퀜칭경도가 높지 않습니다 . ... STD11 시편을 로크웰 경도 시험 시편기로 경도를 측정해 줍니다 . ... 연마된 시편을 열처리 해주기 위해 STD11 시편을 950℃ 온도에서 넣고 30 분 동안 기다립니다 .
    리포트 | 7페이지 | 2,500원 | 등록일 2022.03.12
  • (SOP) 반복성, 신뢰성, 회수율 검증 작업표준
    Minitab을 이용한 신뢰성 검증 - ⑦11. ... 번호CICI작업표준"반복성, 신뢰성, 회수율 검증 (ICP-MS)"문서개정번호0페이지 :4/ 4목 적"ICP-MS 운용 時 계측기의 반복성, 신뢰성, 회수율을 검증하기 위한 SOP를 제정하기 위함."11 ... Sample 준비 - 100ppb STD 제조2. Sample 준비 - 1ppb STD 제조3. Sample 준비 - 5ppb STD 제조"1.
    서식 | 2,000원 | 등록일 2022.03.30
  • 금속재료기사 작업형_족보, 요약, 사진정리 _ 자격증 취득자의 핵심 요약집
    STC3 ✕ 200STD11 ✕ 200STC3 Vs STD11 탄화물의 형상이 구상으로 일정한지? 다각형인지? 밝은 느낌인지? 어두운 느낌인지? ... STS3 ✕ 200SKH51 ✕ 200STS3 ✕ 200STD11 ✕ 200SKH51 ✕ 200STD11 ✕ 200STS3 x 500페라이트와 구상탄화물SKH51 x 500바탕조직 ... : 페라이트 굵은 백립 : 공정탄화물 미세 입상 : 석출탄화물STD11 x 500바탕조직 : 페라이트 + 구상탄화물 굵은 백립 : 공정탄화물 흩어져있는 미세 입상 : 2차 탄화물STC3
    시험자료 | 19페이지 | 8,000원 | 등록일 2020.06.01 | 수정일 2022.10.14
  • UV를 이용한 의약품 속 카페인 분석
    실험 일자2019.10.31~2019.11.063. 실험 목적UV/VIS Spectrophotometer를 이용해 카페인의 검량선을 작성하고 의약품 속 카페인을 정량분석한다.4. ... ) : 20 ppm 1 mL, 정제수 9 mL- 5 ppm(STD2) : 20 ppm 2.5 mL, 정제수 7.5 mL- 10 ppm(STD3) : 20 ppm 5 mL, 정제수 5 ... mL- 16 ppm(STD4) : 20 ppm 8 mL, 정제수 2 mL- 20 ppm(STD5) : 20 ppm 10 mL3.흡광도 측정1)cell을 cell에 넣을 용액으로 1번씩
    리포트 | 4페이지 | 2,500원 | 등록일 2023.08.09
  • 산부인과 컨퍼런스 PPT- HPV, STD, PID
    STD HPV PID 컨퍼런스CONTENTS STD HPV PID 간호기록STD : Sexually transmitted disease 성적인 접촉을 통해 전파될 수 있는 모든 질환STD란 ... , 16, 18 번 HPV 바이러스 예방 ③ 가다실 9 가 - 6, 11, 16, 18, 31 33, 45, 52, 58 번 바이러스 예방 2 회 접종 : 만 9 세 ~13 세 ( ... risk virus중 몇번의 virus에 감염되었는지 numberling을 확인하는 검사.HPV 예방접종 ① 서바릭스 - 16,18 번 HPV 바이러스 예방 ② 가다실 4 가 - 6, 11
    리포트 | 21페이지 | 5,000원 | 등록일 2023.02.25
  • 고관절 인공관절 치환술
    -3008STD144#91106-3209H.O1461106-3009STD146#101106-3210H.O1491106-3010STD149#11---1106-3011STD152#13- ... #21106-3202H.O1281106-3002STD128#31106-3203H.O1311106-3003STD131#41106-3204H.O1341106-3004STD134#51106 ... -3205H.O1371106-3005STD137#61106-3206H.O1401106-3006STD140#71106-3207H.O1421106-3007STD142#81106-3208H.O1441106
    리포트 | 10페이지 | 5,000원 | 등록일 2022.10.11
  • 금속재료 산업기사 자격증 (실기) 준비 자료
    1.0%, W 0.5~1.0%강종 : SKH51성분 : C 0.8~0.9%, Si < 0.4%, Mn < 0.4%Cr 3.8~4.5%, W 5.5~6.7%, V 1.6~2.2%강종 : STD11성분 ... 광택 많이나고 어두운게 취성2.깔끔할수록 취성4.강종의 탄소 함량 및 함량 별 순서Sm25 0.25Sm45 0.45Skh51 0.8-0.9Sts3 0.9-1.0Stc3 1.0-1.1Std11 ... 1.0%, W 0.5~1.0%강종 : SKH51성분 : C 0.8~0.9%, Si < 0.4%, Mn < 0.4%Cr 3.8~4.5%, W 5.5~6.7%, V 1.6~2.2%강종 : STD11성분
    시험자료 | 5페이지 | 3,000원 | 등록일 2023.06.08
  • 건강기능식품회사에서 HPLC(육방전환밸브)로 비타민D 분석하는 방법(METHOD)
    STD 제조1) 100ppm D3 STD 조제- CHOLECALCIFEROL 10mg을 100ml V/F에 칭량 후, 80% MeOH로 정량.- 1ppm, 2ppm, 50ppb 100ppb ... 피펫/마이크로피펫11. 100ml V/F12. 감압증류플라스크​​1. ... ) 이제 아래층은 비커에 받아 버림12) 8),9)과정 3번 반복11) 분액깔대기①에 모인 헥산층에 1N KOH 100ml 넣고 진탕12) 층 분리 후 아래층 비커에 받아 버림13)
    리포트 | 4페이지 | 3,000원 | 등록일 2023.04.10 | 수정일 2023.04.25
  • 건국대학교 전기전자기초설계및소프트웨어실습 4주차 레포트 A+
    [fi].mid_term = rand() % 46;student[fi].final_term = rand() % 46;student[fi].attendance = rand() % 11 ... ;// struct student를 STD로 쓸 수 있음int main(){STD std1; // struct student 대신 STDstd1.grade = 'A';std1.mid_term ... ; // 구조체의 정의는 반드시 ;로 끝나야 한다.// 구조체의 정의와 typedef를 함께 진행int main(){STD std1; // struct student 대신 STDstd1
    리포트 | 25페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 철강 재료 조직시험 보고서
    실험결과STD11 (x200) STD11 (x500)SMO0C (x200) SMO06 (x500)STD11 (x200) STD11 (x500)[STD11]현미경 조직상에서 큰 흰색 ... 괴상의 탄화물들이 분포해 있으므로 철강의 KS규격에서 STD11시편의 형상을 띈다.
    리포트 | 6페이지 | 2,000원 | 등록일 2021.04.21
  • 기기분석 및 실험 결과 보고서 - HPLC를 이용한 마뇨산분석
    이용한 검사 결과 meta- 와 para- 이성질체가 하나의 peak로 분리되지 않고 나타남을 알 수 있다. 2-마뇨산은 약 6min에서 피크가 나타나고, 3, 4-마뇨산은 약 11min에서 ... 실험결과(1) HPLC data시료농도마뇨산2-메틸 마뇨산4-메틸 마뇨산RT(min)Area(mAU*s)RT(min)Area(mAU*s)RT(min)Area(mAU*s)STD0blank4.49706.091011.1970STD10.24.4372.339466.091010.9934.72427STD20.54.4434.261145.971.6966211.197STD314.916.890486.5284.2607411.82939.38979STD454.50842.267136.05413.0013611.075118.43383STD5104.45996.738585.98828.1529611.015270.05737STD6504.444503.388955.972162.8013910.9981440.3064
    리포트 | 6페이지 | 3,000원 | 등록일 2020.09.26
  • 2023년 1학기 통계학개론 출석수업 중간과제 리포트 30점 만점
    ~ housize)Residuals:Min 1Q Median 3Q Max-3.1647 -1.9367 -0.1798 1.8777 4.8786Coefficients:Estimate Std ... 중앙값, 3사분위수, 최대값이 된다.모평균에 대한 95% 신뢰구간> t.test(BOOKTWLV)One Sample t-testdata: BOOKTWLVt = 6.9663, df = 11 ... degrees of freedomMultiple R-squared: 0.7351,Adjusted R-squared: 0.711F-statistic: 30.52 on 1 and 11
    방송통신대 | 4페이지 | 3,500원 | 등록일 2024.04.14
  • 전산통계 -5장 과제 레포트
    Dev Std Err Minimum Maximumless 11 33.5636 1.1784 0.3553 31.5000 35.0000more 15 34.6533 2.2778 0.5881 ... 3.5000Diff (1-2) -1.5967 0.7150 0.2919credit Method Mean 95% CL Mean Std Dev 95% CL Std Devbad 0.8750 ... 32.0000Diff (1-2) 3.0833 2.8518 1.1642variety Method Mean 95% CL Mean Std Dev 95% CL Std DevA 31.7500
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.16
  • A+보장!! 여성건강간호학실습 케이스 / 제왕절개 간호과정 / C-sec 간호과정 / 간호과정 3개
    수술과정수술 시작시간 : 10월 23일 2:11pm총 수술시간 : 54min (3:05pm done)마취시작시간 : 1:45pm 마취방법 : Epidural수술명 : C-sec d/ ... 검사소견- Hb: 10.4 g/d 단백뇨: 유( ) 무( v )자궁수축- 시작시간: - 빈도(interval): 20min기간(duration): 30초 강도: 40mmHg성 병(STD ... 과거 병력 및 산과력Gyn disease - STD + (Gardnerella vaginalis)*2019년 DM - Tbc -Hypertension - Psychiatric disorder
    리포트 | 24페이지 | 2,500원 | 등록일 2023.08.30
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대