• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,553)
  • 리포트(1,476)
  • 시험자료(44)
  • ppt테마(12)
  • 방송통신대(9)
  • 자기소개서(6)
  • 논문(4)
  • 서식(2)

"TD50" 검색결과 1-20 / 1,553건

  • 독성학의 이해
    : 집단의 50%에서 치료효과를 나타내는 약물의 용량(유효용량)③ TD50(반수중독량, Toxic Doses 50%) : 집단의 50%에게 유해한 독성 작용을 일으키는 용량(부정적 ... MOS)(1) 치료계수(상대안전도)- 약물이나 화학물질의 안전성정도를 나타냄 ⇒ Tl = LD50 / ED50 & TD50 / ED50)- 치료 지수가 높으면 독성이 나타나기 어려워지므로 ... ),- 숫자가 클수록 독성이 약함- TD100 : 집단의 100%에 대해 독성을 나타냄4) Therapeutic index 치료계수(Tl) & Margin of safety 안전역(
    리포트 | 2페이지 | 1,500원 | 등록일 2024.05.27
  • 질량 측정과 액체 옮기기
    기구 및 시약 Apparatus & Chemicals500mL-비커, 증류수, 저울, 50mL-비커, 10mL-눈금 피펫, 10mL-홀피펫, 피펫 필러 (고무 필러 및 다이얼식 필러 ... 조사하고 실험 결과에 적는다.② 저울의 균형이 맞는지 확인해야 하며, 저울의 올바른 사용법을 충분히 익힌 후 정지점(rest point or zero point)을 결정한다.③ 50 ... , 측정 용량이 10mL, 최소 눈금단위 0.1mL, 오차율: 20℃에서 ±0.04홀 피펫: Kyung In사, TD이며, 측정 용량이 10mL, 최소 눈금단위 0.1mL, 오차율:
    리포트 | 8페이지 | 1,500원 | 등록일 2020.11.19
  • 2020학년도 1학기 출석수업대체과제물 C프로그래밍
    std_birth[3];//3명의 학생을 받아야하기 때문에 3번 반복for (int i = 0; i < 3; i++) {char nm[50];//이름 namechar dept[50 ... (std[j], std[j + 1]);strcpy(std[j + 1], temp);//숫자형 배열의 위치 바꾸기i_temp = std_birth[j];std_birth[j] = std_birth ... );//std[i]에 학생 성별을 붙여넣는다strcat(std[i], ", ");//std[i]에 ,를 붙여넣는다strcat(std[i], dept);//std[i]에 ','이라는
    방송통신대 | 14페이지 | 6,000원 | 등록일 2020.05.27 | 수정일 2020.05.29
  • 평가 데이터를 활용하여 기존 모델을 업데이트하는 베이지안 추론 (파이썬코드 예제포함)
    mean: tensor(36.4596)Inferred posterior std: tensor(31.7828)위 그래프에서 히스토그램으로 표시된 것이 사전 정보 그러니까 평균 50 ... 초기 사전 분포는 평균이 50이고 표준편차가 10인 정규 분포로 설정되어 있습니다.우리는 몇 개의 관측 데이터를 가지고 있으며, 이를 사용하여 모델의 파라미터를 추론하고 사전 분포를 ... plt# 측정 데이터data = torch.tensor([-4.6, 10.2, 6.5, 51.7, 8.0])# 사전 분포 (정규 분포)prior_dist = dist.Normal(50
    리포트 | 7페이지 | 2,500원 | 등록일 2023.06.07
  • VHDL-1-가산기,감산기
    -> X=1, Y=1, Cin=0S=0, Co=15) 0~50ns -> X=0, Y=0, Cin=1S=1, Co=06) 50ns~100ns -> X=1, Y=0, Cin=1S=0, ... 시뮬레이션 결과 및 설명SchematicVHDLX는 50ns 간격으로, Y는 100ns 간격으로, Cin은 200ns 간격으로 설정했다.I) 0~50ns -> X=0, Y=0, Cin ... 시뮬레이션 결과 및 설명SchematicVHDLX는 50ns 간격으로, Y는 100ns 간격으로, Bin은 200ns 간격으로 설정했다.I) 0~50ns -> X=0, Y=0, Bin
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 건국대학교 전기전자기초설계및소프트웨어실습 4주차 레포트 A+
    ;else if (sum > 70)student[fi].grade = 'B';else if (sum > 60)student[fi].grade = 'C';else if (sum > 50 ... ;std1.grade = 'A';std1.mid_term = 97;std1.final_term = 92;std1.attendance = 10;printf("std1's grade is ... %c\n", std1.grade);printf("std1's mid_term is %d\n", std1.mid_term);printf("std1's final_term is %d\
    리포트 | 25페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • [분석화학실험 A+] 부피 측정용 기구 사용법 및 검정 결과 보고서
    부피 측정용 기구인 뷰렛의 사용법 및 주의사항을 숙지하고, 50 mL 뷰렛의 검정을 시행했다. 50mL 뷰렛에 증류수를 넣고 5 mL 간격으로 뷰렛을 이용하여 증류수를 삼각 플라스크에 ... 일반적으로 피펫의 보정형태는 주로 TD 인데, 보정 형태가 TD 인 경우에는 용액을 옮긴 후에 피펫 끝에 맺힌 방울을 불어내어서는 안된다. ... 부피 측정 기구들에는 TD(To deliver), TC(To contain)와 부피는 온도의 영향을 받기에 검정 시의 온도가 표시되어 있다.피펫은 0.5~200 mL 사이의 부피의
    리포트 | 9페이지 | 3,000원 | 등록일 2023.05.08
  • (한/영)설비보전 예방 지표관리 개요
    timing = 10 분 (min) / 건 (Case) MTTR= 10 분 (min) Tb 고장 (Failure) 20 분 (min) Tc 고장 (Failure) 5 분 (min) Td ... Failure) 40 분 (min) = ( T1 5min+T2 10min+T3 20min+T4 5min ) 4 회 (times) = ( ta 1 건 + tb 1 건 + tc 1 건 + td ... +T4 40min ) 4 회 (times) = ( ta 1 건 + tb 1 건 + tc 1 건 + td 1 건 ) timing = 40 분 (min) / 건 (Case) MTBF=
    리포트 | 5페이지 | 2,000원 | 등록일 2023.07.07
  • 최스미 외, 알기쉬운 핵심약리학, 수문사, 중간고사 요약본
    용량 (3) TD50(반수독성량, 독성용량): 약물을 투여한 집단의 50%의 개체에서 독성작용을 일으킬 수 있는 용량 (4) TI(치료지수) - 안전역 - TD50 or LD50을 ... (반수치사량, 치사용량): 약물을 투여한 집단의 50%의 개체를 죽일 수 있는 용량 (2) ED50(반수유효량, 효과용량: 약물을 투여한 집단의 50%의 개체에서 효과가 발현되는 ... 중독을 일으킬 염려가 없는 통상적 치료에 사용되는 양 - 최대 유효량(극량): 위험성 없이 투여할 수 있는 최대 용량 2) 치사량 - 생체를 죽음에 이르게 하는 용량 (1) LD50
    시험자료 | 27페이지 | 3,600원 | 등록일 2022.07.17 | 수정일 2022.07.18
  • 단 3개의 데이터만 가지고 모델 추정하기 (베이지안 추정, Python source code 예제 포함)
    the posterior distributiona_samples = trace['a']b_samples = trace['b']for a_, b_ in zip(a_samples[::50 ... ], b_samples[::50]):y_pred = a_ * x_data_smooth + b_ * np.sin(x_data_smooth)plt.plot(x_data_smooth, y_pred ... = np.std(y_pred_samples, axis=0)plt.fill_between(x_data_smooth, y_pred_mean - y_pred_std, y_pred_mean
    리포트 | 8페이지 | 2,500원 | 등록일 2023.08.15
  • 성인 예방접종(대상포진, 인플루엔자, 폐렴사슬알균, Td/Tdap)
    접종 ) 이후 매 10 년마다 Td 추가 접종4. ... 접종 Tdap 접종 한번도 안 한 경우 : 한번은 Tdap 을 접종하되 초회 접종 총 3 회 접종 (Tdap 첫번째 접종 , 4-8 주후 Td, 이후 6-12 개월 뒤 다시 Td ... 성인 예방접종 - 대상포진 , 인플루엔자 , 폐렴사슬알균 , Td/Tdap대상포진 (herpes zoster)1.
    리포트 | 26페이지 | 2,500원 | 등록일 2023.04.28
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    주제 배경 이론DE2 보드는 클럭으로 50MHz를 사용한다. 이것은 1초에 50,000,000번 진동한다는 뜻이다. ... 이 모든 것을 FND로 출력되게 하면 완성된다.2.소스코드 설명1~2)std_logic과 std_logic_vector를 쓰기위한 패키지 선언.4~18)리셋을 위한 nRst와 클럭 ... 한 번 진동하는데 걸리는 시간은 역수를 취하면 얻을 수 있고 20ns가 나온다. 20ns * 50,000,000 = 1s이다.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [68점/70점] 파이썬과 R 통계학과 기말 시험 온라인평가 방송통신대
    않은 확보된 관측값은 모두 720 개이다.앞서 결측치를 제거하고 난 nutrient2 데이터셋의 기술통계량은 다음과 같다.결과는 개수(count), 통계(mean), 표준편차(std ... ), 최솟값(min), 1 사분위수(25%), 중앙값(50%), 3 사분위수(75%), 최댓값(max) 이다.위의 코드실행결과는 R 과 파이썬을 활용하여 calcium, iron,
    방송통신대 | 30페이지 | 5,000원 | 등록일 2020.12.21 | 수정일 2021.08.27
  • 요로감염 UTI(urinary tract infection) case study
    /11 TD 저 잔사식(죽+반찬)11/12 TD 저 잔사식(죽+반찬)11/13 TD 저 잔사식(죽+반찬)11/14 TD 저 잔사식(죽+반찬)11/15 TD 저 잔사식(죽+반찬)11/ ... 요관 폐색, 쇼크, 심부전, 당뇨CRP-반응성단백(정량)CRP의 양이 변화하는 양상을 지켜보면 감염성 질환이나 자가면역질환 등의 각종 염증반응의 진단, 경과 관찰에 이용0.00~0.50 ... 58.867.765.290.1▲▲ 스트레스, 급성 화농성 감염, 외상, 쿠싱 증후군, 악성 종양, 급성 출혈Lymphocyte외부 항원 인식과 항체 생성에 중요한 역할을 함21.9~50.3
    리포트 | 25페이지 | 2,000원 | 등록일 2022.10.30
  • [일반화학실험 A+ 레포트] 정확도와 정밀도
    부정확한 눈의 위치(시차)는 부피 측정치의 오차를 만든다.오목한 메니스커스(물)볼록한 메니스커스(수은)5) 부피와 질량 측정 기구① Tc와 Td 용기Td는 ‘To deliver’의 ... Td 용기의 공통점은 유리관 모양으로 용기의 양 끝이 뚫려있다는 것으로 대표적으로는 스포이트, 피펫, 뷰렛 등이 있다. ... 결론적으로, 일정한 양의 액체를 다른 용기에 옮길 때는 Td를 이용하고 일정한 양의 액체를 만들어서 그 중 일부만을 사용할 때는 Tc를 사용한다.② 비커(beaker)비커는 주로 액체를
    리포트 | 6페이지 | 1,000원 | 등록일 2024.04.17
  • AJAX 강의 02강
    … /td /tr … tbody tfoot … / tfoot /table tr tr td td자바스크립트 (JavaScript) button onclick=“ alertMessage ... Ex)var n1 = “50”; var n2 = Number(n1) // n1 : String, n2 : Int Array.join () 메서드 Default : , 해당 배열을 문자열로 ... 자바스크립트 (JavaScript) HTML 태그 (1) – Table 태그 table border=“1” thead … / thead tbody tr th … / th /tr … tr td
    리포트 | 16페이지 | 3,000원 | 등록일 2021.12.03
  • 02. 전자회로실험 예비보고서-교류전압의 측정,전압과 전류의 측정
    0.001mv-0.004ms0.0000016mHzVSIN: AC=0.1, VOFF=0, VAMPL=0.1, FREQ=20으로 설정함(2) 삼각파omega =40π크기주기주파수계산값100mv50ms0.02mHz측정값100mv50.2ms0.0199mHz오차0mv0.02ms ... Pspice 시뮬레이션 및 예비 실험 결과- 실험 1)omega =40π일 때 사인파, 삼각파, 사각파(1) 사인파omega =40π크기주기주파수계산값100mv50ms0.02mHz측정값99.999mv49.996ms0.0200016mHz오차 ... -0.0001mHzVPULSE: AC=0.1v, V1=-0.1v, V2=0.1v, TD=0, TR=0.025s, TF=0.025s, PW=0.01ns, PER=0.05s 로 설정함(
    리포트 | 5페이지 | 1,000원 | 등록일 2020.12.16
  • 방통대 보건정보데이터분석 A+
    75713 849 1399$lower25 50 75348 688 849$upper25 50 75849 1399 NA> #누적한계추정값과 95% 신뢰구간 그래프> plot(fit1, ... formula = Surv(time, status) ~ 1, data = 간암수술환자재발,conf.type = "log-log")time n.risk n.event survival std.err ... 0.00625 0.355> #재발시점의 사분위수 추정값과 그의 신뢰구간> quantile(fit1,probs=c(0.25, 0.5, 0.75), conf.int=T)$quantile25 50
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 생명과환경2공통 전세계에서 미세먼지 평균 농도가 가장 높은도시부터 10번째로 높은도시까지를 조사하고 원인을 찾아보시오00
    연구 결과에 의하면 대기오염물질 중 30~50% 내외가 국외에서 유입된 것이다. ... 하지만, 초미세먼지(PM2.5) 농도가 가장 높은 상위 10개 도시 중 6개는 인도로 나타났다.2022년 기준, 차드 공화국의 수도 은자메나(N`Djamena, TD)는 세계 국가 ... 세계보건기구의 초미세먼지(PM2.5) 농도의 목표치인 10μg/m³인데, 차드의 수도 은자메나(N`Djamena, TD)는 9배나 높았다.다른 사이트 조사에 의하면 세계적으로 미세먼지가
    방송통신대 | 6페이지 | 6,000원 | 등록일 2024.03.05
  • 성인간호학실습, casestudy, 소화기 내과
    내원 당일 2/23일 02시부터 발생한 abd. pain을 주호소로 본원 er에 내원하였으며 C/S/R(+/+/+), Abd Td/ r Td(+, epigagstric dominant ... 과거력으로는 50년 전 TA로 대장수술, 1998년 gastric ulcer, 2010년 duodenal ulcer가 perforation으로 진전되어 op, 2011년 epigastric
    리포트 | 15페이지 | 1,000원 | 등록일 2022.01.16 | 수정일 2022.03.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 06일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대