• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(623)
  • 리포트(599)
  • 자기소개서(15)
  • 논문(5)
  • 시험자료(3)
  • 방송통신대(1)

"Toggle" 검색결과 1-20 / 623건

  • 교류및전자회로실험 실험2 Timer 기초 예비보고서
    되고, 떼고 있는 동안에는 2 초에 한 번 toggle 되도록 프로그램을 작성한다. ... 되고, OFF 인 동안에는 2초에 한 번 toggle 되는 기능을 구현하기 위한 자세한 순서도 그림을 그리시오.6. ... );}void loop() {timer.run();Serial.print("LED SIGNAL: ");Serial.println(digitalRead(LED));}void toggle
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • 자동차운반선 카캐리 장비에 대한 ppt
    Toggle Pin 1 Step setting 시 필요6. ... Toggle Pin 6. Hook 7. Guide Piece 8. Deck Lifter Control Panel 9. 기타 5 개1.
    리포트 | 22페이지 | 1,000원 | 등록일 2020.01.29
  • 디지털 논리 회로 실험 레포트 [AND OR 게이트의 이용]
    토글 스위치 (Toggle Switch)토글 스위치는 두 가지 상태만을 가지고 있는 스위치 이다. ... 그리고A,`B,`C,`D 각각은 Toggle Switch을 이용하여 원하는 입력 값을 넣어줄 수 있도록 한다.만약 SN74LS10N에서 출력된 값이 약 5V라면 발광 다이오드를 통해
    리포트 | 9페이지 | 1,000원 | 등록일 2020.01.03
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    첫 번째 FF의 J,K는 11로 고정하여 항상 toggle이 일어나도록 한다. 두 번째 FF은 Q0이 1일 때에만 toggle이 일어난다. ... 일어난다. toggle을 기준으로 살펴보면첫 번째 FF은 J, K 가 HIGH 로 고정되어 있으므로 항상 toggle이 일어난다. ... 따라서 3(0011), 7(0111)에서 toggle 이 일어난다.네 번째 FF은 (Q3Q0)+(Q0Q1Q2) 가 1일 때 toggle 이 일어난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 논리회로설계실험 7주차 Flip flop 설계
    이후에 T = 0이면 이전 출력이 유지되고 T = 1인 경우 clk이 posedge일 때 이전 출력이 toggle되어 나온다. ... 특징이 있었으며, T flip flop의 경우 input T = 0인 경우 이전 출력을 그대로 유지하고 T = 1인 경우엔 이전 출력을 toggle한다는 차이점이 있었다. ... 다음으로 always문을 이용하여 clk이 posedge일 때에만 작동하도록 하였으며, 조건문 if을 이용하여 t = 1일 경우 q와 q_bar가 toggle 되도록 하였으며, t
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • TIMER 기초 실험 예비보고서
    주소를 저장 후, toggle되는 함수로 점프하여 led를 toggle시킨다. ... 스위치가 ON인 동안에는 LED가 0.5 초에 한 번 toggle되고, OFF인 동안에는 2초에 한 번 toggle되는 기능을 구현하기 위한 자세한 순서도 그림을 그리시오.5. ... 스위치 입력을 매 0.1 초마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED가 0.5초에 한 번 toggle 되고, 때고 있는 동안에는 2초에 한 번 toggle되도록 프로그램을
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2021.04.08
  • Flip-Flop과 Latch [플립플롭과 래치] D Latch에서 Flip-Flop까지
    기능을 한다.T Flip-Flop은 Toggle 하거나 Toggle 하지 않거나 이렇게 두 가지의 상태가 필요하므로 입력이 하나의 비트만 필요하다.T가 0일 때 Toggle 하지 ... 이를 간단히 Symbol로 나타내면, 아래와 같이 나타낼 수 있다.T Flip-Flop다음으로 살펴볼 T Flip-Flop은 Toggle의 T를 따와 이름 지어진 만큼, Toggle의 ... 않고, T가 1일 때 Toggle 하는 T Flip-Flop의 Table은 다음과 같다.따라서 우리는 앞선 JK Flip-Flop과 마찬가지로 식으로 나타낼 수 있다.Q(t+1)=
    리포트 | 8페이지 | 1,000원 | 등록일 2022.08.26
  • Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    모듈 코드를 작성할 때 Flip-Flop의 Toggle 동작을 하강 에지에서 작동하도록 했는데, 테스트 벤치 코드에서 하강 에지와 입력 T가 변화하는 시각을 같게 설정하였다. ... 그런데 시뮬레이션 결과 파형에서와 같이 하강 에지에서 T가 1에서 0으로 변하였는데 Q가 Toggle되는 모습을 보였고, 하강 에지에서 T를 1로 인식한 것을 볼 수 있었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.11.06
  • 비동기 카운터, 동기 카운터 설계 결과레포트
    실험에서 사용한 J-K 플립-플롭은 클럭의 하강 에지에서 toggle 되도록 구성된 플립-플롭으로, 클럭의 하강 에지에 맞추어 QA의 값이 toggle되고, 마찬가지로 QB도 QA ... J-K 플립-플롭에서 입력 J와 입력 K를 연결해 T 플립-플롭과 같이 toggle 동작을 하도록 구성한 후, 플립-플롭의 출력을 이어지는 플립-플롭의 CLK와 연결해 UP 카운팅
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09
  • 충북대 기초회로실험 플립플롭의 기능 예비
    JK flip flop에서는 입력이 모두 1일 때 출력이 toggle 되는데, toggle 상태에서는 race problem이 발생되므로 이러한 현상을 고려해서 만든 flip-flop이 ... Q0무불 변0유011무불 변1유10(3) JK Flip FlopJK Flip Flop은 RS flip flop과 유사하지만 2개 입력에 high 상태가 가해지면 출력상태가 토글(toggle ... 예를 들어, JK flip flop에서 J, K 두 입력이 1이고 출력이 0일 때 클록 펄스 1이 가해지면 flip flop 회로는 전달하는 시간만큼 지연된 후 출력이 1로 toggle
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 금오공대 아날로그회로응용설계 - 아날로그필터(회로 설계) 레포트
    그래프를 통해 차단 주파수의 측정값을 확인 (dB 규모가 최대치보다 3dB 낮은 크기를 가지는 시점의 주파수를 차단 주파수라는 점을 활용하여 PSpice simulation에서 Toggle ... 그래프를 통해 차단 주파수의 측정값을 확인(1. dB 규모가 최대치보다 3dB 낮은 크기를 가지는 시점의 주파수를 차단 주파수라는 점을 활용하여 PSpice simulation에서 Toggle ... 1.1938 KHz )(2. dB 규모가 처음 값(6.202dB)보다 3dB 낮은 크기를 가지는 시점의 주파수를 차단 주파수라는 점을 활용하여 PSpice simulation에서 Toggle
    리포트 | 27페이지 | 10,000원 | 등록일 2021.07.02 | 수정일 2022.01.20
  • 실험23_계수기 회로_예비레포트
    따라서 CLK의 pulse로 인한 출력Q _{0}의 값이 다음 toggle이 일어날 때까지 0과 1의 한 주기 duration만큼 유지된다. ... 따라서 출력Q은 0, 출력bar{Q}은 1이다.따라서 J와 K에 모두 1이 인가되면 출력이 반전되는 toggle 동작이 일어남을 확인 할 수 있다.⑵ JK flip-flop에서 토글 ... 즉, 두 번째 JK FF의 출력Q _{1}의 주기는Q _{0}의 두 배, CLK의 4배가 된다.전체적으로 이러한 JK FF의 신호전달이 toggle 동작에 의해 이루어지고 있음을 볼
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • 연세대학교 전기전자공학부 19-2학기 네트워크실험 프로젝트 결과 보고서
    그대로이고, 5초마다 green LED가 먼저 toggle한 직후에 acknowledgements를 받아 red LED가 toggle한다. 4번 node는 acknowledgements를 ... toggle하고 0.1초 후에 다음 nodes로 messages도 보낸다. ... 따라서 1번, 2번, 3번, 4번 nodes 모두 green LED는 toggle할 수 있다.
    리포트 | 16페이지 | 5,000원 | 등록일 2020.08.18
  • 홍익대 디지털논리실험및설계 7주차 예비보고서 A+
    S-R Latch는 입력이 1,1일 때 사용할 수 없지만, J-K Flip-Flop에서는 출력값이 뒤집어지는 toggle 기능이 추가된다. ... Q, Q’가 01이었다면 10으로, 10이었다면 01으로 뒤집는 것이다.JKQQ’00No Change0101101011Toggle또한 S-R Latch는 Enable이 1일 때 회로가 ... 그리고 J, K가 00일 때는 NC 이므로 처음 Q와 Q’는 동일하게 01일 것이다. 11일 때에는 toggle이 일어나 값이 뒤집어진다.2.4 응용실험 (1)- 예상 결과CLKJKQQ
    리포트 | 8페이지 | 1,000원 | 등록일 2023.09.18
  • 교류및전자회로실험 실험2_TIMER 기초 결과보고서
    되고, 떼고 있는 동안에는 2 초에 한 번 toggle 되도록 프로그램을 작성한다. ... 이후 셋업함수에서 13번핀을 출력으로 설정하고 통신보율을 설정한 후 SimpleTimer를 사용하여 toggle 함수를 1000밀리초마다 호출하도록 설정했다. ... OFF 이면 LED가 켜지고, 출력이 ON 이면 LED가 꺼지도록 회로를 구성한다.(2) 아두이노의 타이머 기능과 SimpleTimer 라이브러리를 활용하여, LED가 1초에 한 번 toggle
    리포트 | 12페이지 | 1,000원 | 등록일 2024.08.17
  • [논리회로실험] 실험8. Counter 결과보고서
    그러므로 toggle에 의해 A=1, B=0이 되며 다음 클럭에서 두 플립플롭은 모두 J=K=1 상태이므로 toggle에 의해 A=0, B=1이 된다. ... 그러므로 toggle에 의해 A=1, B=0이 되며 다음 클럭에서 두 플립플롭은 모두 J=K=1 상태이므로 toggle에 의해 A=0, B=1이 된다. ... 실험결과 첫 번째 플립플롭은 J=K=1인 상태로 클럭펄스가 들어올 때마다 전 출력 값의 toggle 값이 출력되며 첫 번째 단의 출력이 Falling일 때 두 번째 단의 출력 값이
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • 인하대 전자회로실험1, 전자공학실험1 INTERRUPT
    이후 MsTimer2::set(1000, toggle) 함수를 이용하여 1초마다 toggle 인터럽트를 실행하도록 설정하였다. ... OFF동작digitalWrite(LED2, LED);digitalWrite(LED3, LED);}void toggle(){LED=! ... LED; //LED핀들이 OFF와 ON동작 하는 toggle 함수 구현}고찰 및 실험 평가이번에는 Timer 인터럽트 방식을 이용하여 실험하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.01
  • 서강대학교 21년도 디지털논리회로실험 6주차 결과레포트 (A+자료) - Flip-flop, Registers
    , Q2는 Q1의 rising edge에 따라 toggle된다. ... 위의 JK FF은 CLK이 high이고, J와 K가 둘 다 1일 때, 이전 출력을 toggle하여 내보낸다. ... 기능은 똑같을 것이다.만약 T FF을 하나 더 연결한다면이런 회로가 나올 것이다.이때, 마지막 FF의 출력 Q3 (LED_3)는 Q2의 rising edge에 따라 toggle될 것이다
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • TIMER 기초 결과보고서
    스위치 입력을 매 0.1 초마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED가 0.5초에 한 번 toggle 되고, 때고 있는 동안에는 2초에 한 번 toggle되도록 프로그램을 ... -스위치 OFF일 때스위치를 누르지 않았을 때 2초간격으로 LED가 toggle되는 것을 알 수 있다. ... -void toggle(int timerId) : 지정된 타이머가 활성화 혹은 비활성화 시킨다. 위 코드의 시간에 맞춰 함수를 활성화 비활성화 시켜 LED의 점멸에 사용하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.25
  • 홍익대_디지털논리회로실험_7주차 예비보고서_A+
    J-K Flip-flop의 입력이 1, 1일 땐 toggle이 실행된다. toggle이란 이전 결과값을 반전해서 출력하는 것이다. ... 예로 들어 이전 출력 값이 Q = 0이였다면 toggle되어 Q=1이 출력되는 것이다. S-R Latch는 EN에 1이 입력될 때만 출력값이 변할 수 있다. ... 유지된다.J, K = 1 ,0 일 때 Set이라 볼 수 있으므로 Q = 1 = 0이다.J, K= 0, 1일 때 Reset이라 볼 수 있으므로 Q= 0, = 1이다.J, K 모두 1일 때는 toggle이므로
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대