• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(346)
  • 리포트(316)
  • 자기소개서(29)
  • 이력서(1)

"VHDL설계실험" 검색결과 1-20 / 346건

  • [논리회로설계실험]VHDL을 활용한 LCD설계
    Discussion1)예측과 실제 실험 결과 대조 분석다음은 출력값의 실험값과 예측값을 대조하였다. ... 조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 43개의 state만을 이용하도록 설정한다.2-4) process(lcd_state) – state별 데이터값 ... /실험값LCD_state예측/실험값0Function set1427/ (공백)410/0앞쪽의 0~5 state는 wave상에서는 “_”로 나타났지만, LCD의 설정 값이기 때문에, 동작
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    추가적으로, 각 state마다, 다음 state값도 지정하여 준다.4)References및 확장방향Calculator 설계를 통해, 연산하는 방법과, 연산 후 나오는 값을 LCD에 ... Source & Results1)VHDL Source1-1)Lcd_display1-2)lcd_test1-3)data_gen2)TestBench source3)Result wave7+
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    그대로 발생하고, 그 다음부터는 01:00:00으로 초기화 되어서 나타나는 것으로 보인다.2)Algorithm 설명 및 이해이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다 ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산 ... Source & Results1)VHDL Source2)Testbench Source3)Result wave이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여 기록하였습니다
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    방식으로 설계하여본다.2. ... Discussion1)예측과 실제 실험 결과 대조 분석다음은 출력값의 실험갑과 예측값을 대조하였다.Timedo예측값0ns~63nsUU65ns1111111173ns1111111175ns1110111083ns1110111085ns1101110193ns1101110195ns11001100103ns11001100105ns10111011113ns10111011115ns00110011123ns00110011125ns ... 1.목적(Purpose)이번실습은 ROM과 RAM의 차이에 대해서 알고, 실습으로는 RAM을 설계하는 실습이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic ... 및 소스코드 첨부합니다.modelsim 상에서 VHDL파일로 실행가능합니다.
    리포트 | 1,000원 | 등록일 2017.05.23
  • 성균관대 논리회로 설계실험 VHDL을 이용한 4bit Full adder 입니다.
    1)4bit Full_adder의 schematic을 그리시오. a=”0101” , b=”1001”, c_in = ‘0’ 에 대해서 각각의 bit에서의 s와 most bit 에서의 c_out을 schematic에 표현하시오. (스캔 첨부 가능)1.Full_adder..
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.23
  • [논리회로실험] 실험3. VHDL을 이용한 가산기설계2
    과 목 : 논리회로설계실험과 제 명 : 실험3. ... VHDL을 이용한가산기설계2(CLA)담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.07.Introduction'Ripple Carry Adder'의 ... 이번 실험에서는 'Ripple Carry Adder'에서 생길 수 있는 문제점을 보완한 'Carry Lookahead Adder'를 설계한다.
    리포트 | 22페이지 | 2,500원 | 등록일 2014.03.22
  • [논리회로실험] 실험2. VHDL을 이용한 가산기설계1
    과 목 : 논리회로설계실험과 제 명 : 실험2. ... VHDL을 이용한가산기설계1담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.04.02.Introduction조합회로의 특징과 기본적인 VHDL ... 코드를 가지고 설계하는 것이어서 한 architecture안에서 구현하는 방법을 생각하였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.22
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    동기 작동한다.4)DIP Switch를 통한 mode 선택-1 bit 입력이 필요하기 때문에 DIP_SW을 mode선택 핀으로 사용한다.(2)어떻게 이 회로를 구성할 것인가1) VHDL ... 이 부분의 출력(Z값)은 0이고 뒷부분에 “1101”을 입력받더라도 Z값에 더 이상 1을 출력하지 않는다.(2)설계 과정(1)설계사항 에서 우리는 대략적인 설계 방법과 설계 내용을 ... 코드를 구성하는 기본 설정(1)설계 사항-유한 문자열 인식기를 설계한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • vhdl을 이용한 4비트 가감산기 설계(논리회로설계실험)
    Problem Statement4비트 감가산기를 설계하기 위해서 먼저 전가산기를 설계할 수 있어야 한다. ... PurposeFull Adder 4개를 직렬로 연결하여 4-bit 감가산기를 설계한다. 설계를 통하여 감가산기 입력에 따른 출력 특성을 이해할 수 있다.2. ... (M : 0 -> s = x + y, M : 1 -> s = x - y)4비트 감가산기를 설계하기 이전에 전가산기를 설계하였다.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • 논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계
    ATM기 설계(Final-term Team Project)1. Purpose학기 수업 중 배웠던 VHDL의 이론을 바탕으로 프로그램을 구현한다. ... 입, 출력이 있는 VHDL프로그램의 특성을 활용해서 일상생활에서 널리, 유용하게 사용되고 있는 ATM(Automatic Teller Machine)을 설계한다.2. ... 테스트벤치를 통하여 vhdl module 파일에서 지정하고 선언했던 동작들이 제대로 수행되는지 여부를 알아보아야 한다.② Describe how do you solve the problem.우선
    리포트 | 20페이지 | 3,000원 | 등록일 2009.06.24
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을 ... 그리고 VHDL의 가장 큰 특징 중 하나인 concurrent 기능을 이해하여 concurrent 기능이 필요할 때와 sequntial 기능이 필요할 때를 구분하여 sequntial
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [vhdl] asic설계실험 발표자료
    ..PAGE:1A S I C 설 계 실 험(스톱워치 설계)전자공학..PAGE:21. 전체 구성도..PAGE:32. ... 각 블록 설명Led★ timer에서 50clock마다 보내지는 led signal에 따라 20 clock동안 led가 켜지게 설계..PAGE:102. 각 블록 설명 ... min_down )를 블록에 거치게 함★ 5~10 clock이 한번의 입력이 되게 하고 10 clock 이상이 들어왔을 시에는 10 clock 단위마다 한번의 입력으로 받아들여지게 설계
    리포트 | 10페이지 | 1,000원 | 등록일 2003.12.22
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... [1] Adder & Subtracter 설계학번 / 이름:1. ... 여기서 변수 M의 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... → [FPGA 이용 방법은 기초회로실험_실험 12_P123 참고] Xilinx artix-7 계열 사용, 실행 여부 판단 후 반복, ppt 구상 및 틀 짜기, 중간 보고서 제출3주차 ... : V - Hardware Description Language상위의 동작 레벨에서부터 하위의 게이트 레벨까지 하드웨어를 기술하고 설계하도록 하는 언어로 초보자도 쉽게 회로 설계
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • [A+, 에리카] 2021-1학기 논리설계실험 Verilog HDL 1 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 AND gate를 설계한 후 led동작을 확인해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 가장 정확하고 쉽게 설계하는 데에 있어서 좋다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.02.28
  • 디지털시스템설계실습 전감산기 결과보고서
    전감산기에 대해 뺄셈 결과의 논리식을 XOR로 나타내라.실험 고찰이번실험은 전감산기를 설계하는 실험이었다. 전감산기는 3비트에 대해 산술 뺄셈을 실행하는 조합논리회로이다. ... 전감산기에 대해서 복습함과 동시에 VHDL설계하는 여러 방법들을 배울 수 있는 시간이었다. ... 전감산기는 뺄셈을 하면서 동시에 아랫자리 빌림수와 위에서 빌린 수를 고려해야 하는 독특한 계산을 하는데 이를 VHDL설계해 볼 수 있어서 흥미로웠고, 설계를 논리조합을 이용해 정의하고
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로설계실험 라인트레이서 레포트
    설계 배경 및 목표1) 설계 배경지금까지 여러 VHDL표현 방식에 대해서 배우고 그에 따른 여러 조합회로와 순차회로를 설계하였다. ... 논리회로설계 실험 설계프로젝트 보고서주제 : 라인트레이서 설계1. ... 그러나 이번 실험에서는 RoV-Lab3000이 잘 동작하지 않아 테스트 벤치를 이용하여 스텝모터 작동원리와 적외선 센서의 작동 원리에 대하여 이해하고 line racer를 설계해본다
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:47 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기