• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(20)
  • 리포트(19)
  • 자기소개서(1)

연관검색어

"VHDL 교통신호등" 검색결과 1-20 / 20건

  • 교통신호등 제어기 VHDL 설계
    교통신호등 제어기 설계Ⅰ. 수행 및 제출(1)Ⅱ. 수행 및 제출(2)Ⅲ. 수행 및 제출(3)Ⅳ. ... 수행 및 제출(4)교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다.개발도구: FPGA(ALTERA)BOARD, QuartursⅡ//신호등 구동을 ... walk_g: out std_logic_vector(3 downto 0););end traffic;//클럭 분주를 위한 변수의 선언architecture arc of traffic is//신호등 ... std_logic;signal mclk:std_logic;signal s_flicker:std_logic;begin//flick_sw가 rising edge일 때 s_flicker신호
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • VHDL을 이용한 교통 신호등 설계
    VDHL을 이용하여 교통 신호등을 설계한 프로그램 입니다. (4거리)빨간불, 초록불, 노란불이 자동으로 바뀌며 신호등에 불이 들어와 있는 동안 7segment에는 신호가 바뀌기까지
    리포트 | 14페이지 | 10,000원 | 등록일 2007.01.12
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • VHDL 신호등 구현
    VHDL 신호등 구현목차개요 및 설계코드 구현결과 사진힘들었던 점개요 및 설계-목표 : FSM을 사용하여 신호등을 구현해야 한다. ... -조건신호등 1,3 과 2,4는 4초마다 녹색이 들어온다.횡단보도는 스위치가 눌려있지 않으면 적색(stop)이 들어온다.신호등 2,4가 녹색이고 스위치가 눌려있다면, 다음 신호등 1,3때 ... 횡단보도에 녹색(go)이 들어온다.신호등 1,3가 녹색인 경우 스위치가 눌려있다면 다음 차례의 신호등 1,3이 녹색인 경우 보도에 녹색이 들어와야 한다(go 표시)-설계지금 목적은
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • VHDL을 이용한 교통신호기제어
    교통신호기 제어의 설계2. 하드웨어 구조3. VHDL CODE 분석 및 결과4. 결론 및 느낀점1. ... 교통신호기의 하드웨어1. 보드 장착용 커넥터 6. 북쪽 보행자의 신호등2. 북쪽 차량의 신호등 7. 서쪽 보행자의 신호등3. 서쪽 차량의 신호등 8. 남쪽 보행자의 신호등4. ... 교통신호기 제어의 설계1) 설계의 목표◇ 사거리신호를 기준으로 특정 시간을 주기로 LED가 제어되는 신호등의 구현.◇ 점멸기능의 추가 (점멸 버튼을 누르면 황색램프와 보행자 신호
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 교통 신호
    리포트 | 2,000원 | 등록일 2014.04.27
  • vhdl 센서를 이용한 신호등 제어
    센서를 이용한 신호등 (Signal lamp with sensor)2007년도 1학기 VHDL Project목 차동기 및 목적 동작 설명 블럭도 프로그램 시뮬레이션 회로도 완성그림 ... 제작 일정동기 및 목적차량의 원활한 소통 실시간 교통량의 변화에 대응 센서의 사용으로 효율 증대동작 설명:Segment :sensor블 럭 도StartA도로 신호등 = 녹색 B도로 ... )A도로신호등(황색,FND 0) B도로신호등(적색)A도로신호등(적색) B도로신호등(녹색,FND 8)A도로신호등(적색) B도로신호등(녹색,FND 7)A도로신호등(적색) B도로신호등(녹색
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.12
  • 교통신호 제어기
    2011. 5. 18디지털 공학(교통신호 제어기)소 속 :학 번 :성 명 :교통신호 제어기 설명본 교통신호 제어기에서는 디코더를 활용한 상황에 따른 동작 원리에 대해 설명을 한다.교통신호등의 ... 제어기의 구성구성도교통신호제어 시스템은 다음 그림에 나타난 것과 같이 조합 논리회로, 순차논리회로 그리고 타이밍 회로로 구성된다.조합 논리회로부분에서는 신호등을 끄고 켜는 신호 그리고 ... 출력논리신호등 출력논리는 상태 디코더에서 출력되는 4가지 상태출력을 입력받아서 신호등을 켜도 끄는 6개의 출력신호를 만든다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • [정보통신] VHDL을 이용한 신호등 제어
    교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. ... 교통 신호 제어기 .1. ... 설계하고자 하는 교통 신호 제어기의 동작을 좀 더 상세하게 기술하면 다음과 같다.가.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.06.25
  • [VHDL]엘리베이터 설계
    Test_ele.vhd 코드분석library ieee; --라이브러리 로드use ieee.std_logic_1164.all; --라이브러리 로드use ieee.std_logic_unsigned.all ; --라이브러리 로드en..
    리포트 | 11페이지 | 3,500원 | 등록일 2011.07.11
  • VHDL 신호등 설계 source파일
    4방향 보향자 신호가 있는 신호등깜박이 신호 포합
    리포트 | 3,000원 | 등록일 2008.02.23
  • [8051][졸업작품]교통신호등4거리, 횡단보도7세그먼트표시 [AT89C51]
    파란불이 황색등을 거쳐서 적색으로 켜집니다.5) 시계방향으로 신호등 켜기를 합니다.6) 1~5를 반복합니다.현재 위의 그림은 북쪽 방향으로 차량이 통행되고 동쪽의 보행자 횡단보도에 ... 파란불이 켜져 있는 모습이다.5.3 프로그램 설명 신호등의 타이밍 ... 신호의 교차 순서는,1) 직선 파란불과 우측 횡단보도 파란불이켜져있고,2) 잠시후 횡단보도 파란불 깜빡이고, 3) 횡단보도 파란불이 꺼지고, 적색불이 켜진다.4) 잠시후 차량에 대한
    리포트 | 17페이지 | 3,900원 | 등록일 2009.09.13
  • FPGA를 이용한 신호등구현
    기본 구조를 익혀 신호등을 구현하고 앞에서 언급한 Tool들을 사용하여 직접에서 이루어지기 때문에 아날로그 또는 혼합신호 기능의 결합에는 적합하지 못하다. ... 學士學位論文『FPGA를 이용한 신호등 구현』指導敎授 정 원 기全 州 大 學 校情 報 通 信 工 學金 聖 晩, 張 玉 峻2006 年 6 月 20 日전주대학교 정보통신과Ⅰ.서론반도체 기술의 ... 따른 여러 개의 architecture body표현 등을 지원한다.3.
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • [교통신호 제어시스템]신호
    교통신호 제어시스템 설계-프로젝트 결과보고서--9조-20055290 정승호20055287 정경현1.프로젝트의 목적- 이 프로젝트는 클럭 발생기와 카운터와 디코더와 엔코더를 중심으로 ... 쉽게 설명하면 마주보고 있는 두 신호는 항상 신호가 같지만 좌, 우 신호등과는 다르다.하지만 좌,우 신호등 또한 서로 항상 신호가 같기 때문에 원래 신호등은 4신호 신호등은 4개이지만 ... 즉, 서로 마주 보고 있는 신호등끼리 같은 신호를 받게 될 것 이다.횡단보도 신호등왼쪽 그림과 같이 횡단보도 신호등이 있다. 이 신호등은 앞으로 T,T 들어오게 모아준다.
    리포트 | 23페이지 | 2,500원 | 등록일 2006.10.28
  • [vhdl]신호등 제어하는 코딩 (vhdl)
    library ieee;use ieee.std_logic_1164.all, ieee.numeric_std.all;entity traffic_control is port(clk, reset, car : IN std_logic; led ..
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.11
  • [논리회로] 교통신호 제어기의 모델링
    교통신호 제어기의 모델링1하나의 process문을 두 개의 process문으로 나누어 표현하라.library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all
    리포트 | 7페이지 | 1,500원 | 등록일 2003.05.28
  • [vhdl] vhdl을 이용한 신호
    건널목과 신호등의 체계가 중복돼 사고가 일어날 가능성은 없는가, 교통량을 원활히 할 수 있는가 등등 여러 가지를 거쳐 신호체계를 만든다. ... 우선 사거리의 양방향은 큰길로 교통량이 많은 도로이다. 그리고 나머지 양방향은 차량의 흐름이 드문 작은 도로이다. ... 신호등의 제작.이번에 제작할 신호등은 사거리 신호등이다. 하지만 일반 사거리 신호등과는 다른 설정이 되어있다.
    리포트 | 14페이지 | 1,000원 | 등록일 2002.11.27
  • [전기전자] 교통신호 제어기에 관한 VHDL 코딩
    컴파일과 웨이브폼도 해봐서 문제없었으니깐 안심하시고 쓰세요.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.01.12
  • [자기소개서] 국문 자기소개서 완벽 예문
    구현되는 ”교통신호제어기“를 출품해서 준 우수상을 수상했으며, 4학년 때는, 과제 실험으로 VOIP의 하드웨어 부분인 칩의 인터페이스 변환 부분을 맡아 VHDL코딩 실력을 키웠습니다 ... 거기서 회의 진행법, 대인 관계, 조직 사회 등을 배웠습니다. 3학꿈꾼다. ... 입학시 성적우수 장학금, 재학시 축협장학금, 향토장학금, 국민은행동문회 장학금 등을 수령한 바 있습니다.
    자기소개서 | 27페이지 | 3,000원 | 등록일 2002.07.30
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대