• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(163)
  • 리포트(136)
  • 자기소개서(25)
  • 논문(1)
  • 시험자료(1)

"VHDL 시계" 검색결과 1-20 / 163건

  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... -------------------------------------------------p.11이론적 배경Vhdl를 이용한 코드출력 결과디지털 시계------------------- ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • VHDL실습 디지털 시계
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 하여 이를 ... 시계에서 1초씩 흘러가는 것을 나타내기 위해 설계해야 한다.③ 60진 카운터 ? ... Top-Down구조로 연결하여 설계해 보았다.우선, 디지털시계를 설계하기 위해서는 다음의 회로들을 설계해야 한다.① 2x1 MUX② 1초 생성기 ?
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • VHDL 디지털 시계
    1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부한다.· Timebase ... 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... 신호를 발생하는 회로로 디지털시계의 시간 기준 신호를 발생시키는 회로이다. 1sec는 시계를 위한 기본 시간 신호이고, 0.01sec stopwatch를 위한 기본 시간 신호이다.
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • VHDL-시계
    전자시계의 초기 상태는 일반 시계 모드로서 시간을 표시1. ... 일반 시계 기능, stop watch 기능, 시간 조정 기능(시, 분 조정)? Reset 스위치를 포함하여 5개의 스위치로 구성? ... 이때에도 시계는 정상 동작을 하도록 설계하였다.4. Stop_watch 부분time 부분과 크게 다른 부분은 없다.
    리포트 | 17페이지 | 1,000원 | 등록일 2015.03.03
  • VHDL 디지털 시계 digital watch
    실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2. ... [Schematic]VHDL을 통해 만들어 놓은 각 하위 디자인을 회로도 심볼 파일로 변경하여 설계한다. ... ]12진 카운터는 디지털 타이머에서 시간을 나타낼 때 사용한다.12진 카운터도 60진 카운터와 비슷하다.FND decoder로 표현해야 되기 때문에역시 4bit를 사용IF문 해석:시계
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)指導敎授 : 宋 仁 彩이 論文을 學士學位 論文으로제출함2007
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • vhdl 시계
    ? 최종 제작 소스library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ct isport(clk, reset : in std_logic;sw_min, sw_hour : in std..
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.06 | 수정일 2017.03.08
  • VHDL을 이용한 디지털시계
    제목디지털 시계 구현2. 목적지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다.3. ... 설계 절차① 디지털 시계의 설계 사양 결정㉠ 디지털 시계의 설계 과정 블럭도㉡ 디지털 시계의 기능 설명입력: CLK : 외부에서 제공하는 시스템 클럭SW1, SW2 : 각종 모드 설정 ... 시간모드-디지털 시계의 초기 모드.
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 시계를 1초에 숫자 1씩 바꾸기 위해 필요한 변수이다. ◎ Output DIGIT : 시계를 표시할 때 사용될 segment를 지정해주는 변수이다. ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • VHDL 디지털시계 발표 자료
    하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.. 시험이 끝난 이후 *^^how} ... 완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... 유지 하면서 단순하면서도 시계의 시, 분 , 초가 수정이 되도록 한다)문제점 -최초에 Bus key 1,2,3,4, 5,6을 버튼으로 설정 후 시 , 분 , 초를 수정 하기 위한
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • VHDL 시계 설계
    ♣ 2버튼 디지털 시계 설계▶작동 방법 : 앞에서 했던 디지털 시계설계는 3개의 버튼으로 초, 분, 시를 설정할수 있었지만 이번에 설계하는 디지털 시계는 2버튼으로 설계를 해보았습니다 ... 설계⇒ 앞에서 제출했던 추가 레포트와 마찬가지로 2버튼 시계와 3버튼 시계는 12진 카운터를 똑같이 설계를 했습니다. ... 즉 VHDL로 설계한 것을 보면 key_1 가 rising_edge 일 때 발생을 하며, cnt 값이 3일 때 “00으로 초기 값을 설정해주고 만약 그렇지 않으면 하나씩 값을 더해
    리포트 | 17페이지 | 25,000원 | 등록일 2011.04.07
  • VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2vIndex Clk_div Dclk_2v Bcd_seg dclk waveformclok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 Cl..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • VHDL을 이용한 세계시계 구현
    VHDL코드에서 segment파일은 display블록에서 사용하는 함수들을 모아놓은 것이기 때문에 블록 다이어그램에서는 생략하였다.VHDL Code for Each Block1. ... 동시시간o 현재시간과 동시에 세계시간을 보여줌으로서 순서대로, -9시간의 런던, -2시간의 하노이, -10시간의 뉴욕, +1시간의 시드니를 표시한다.5. 12시제, 24시제 표시(세계시계에서도
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • VHDL을 이용한 디지털시계설계
    VHDL을 이용한 디지털시계4. VHDL Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1. ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을 ... VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시, 분, 초), 시간수정기능, 스톱워치 기능이 되면 디지털 시계설계② 모드 선택과 시간수정은 버튼을 이용하여
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • [VHDL][논리회로] 시계설계(서브모듈이용)
    [VHDL][논리회로] 시계설계(서브모듈이용)A+받은 설계 입니다플래그도 이용
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2021.06.09
  • VHDL을 사용한 시계
    프로젝트를 시작하며1이번 프로젝트는 VHDL기반 하에 카운터를 이용한 디지털시계를 만드는 것이다.VHDL이라는 것을 처음 접해 보기 때문에 관련된 지식이 많이 부족하다.때문에 책에 ... VHDL DesignDIGITAL CLOCK PROJECT1. Definition : 프로젝트의 범위에 대한 정확한정의.? ... 내용을 읽고 이해하고, 모방해보는 수준이기 때문에 프로젝트라 하기에는 많은 것이 부족하다.하지만, 프로젝트를 진행함에 있어 첫 단추를 채우는 방법이나 진행하는 방법 그리고 과정,또한 VHDL이라는
    리포트 | 13페이지 | 1,000원 | 등록일 2008.12.31
  • vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • VHDL를 이용한 디지털 시계
    out STD_LOGIC;SEG_F : out STD_LOGIC;SEG_G : out STD_LOGIC;SEG_DP : out STD_LOGIC);end digital_clock;( VHDL ... 발생, 분은 00으로 초기화시- 1시간 카운트 발생시 1시간씩 증가- 12:59:59 다음은 1:00:00 으로 12시간제 적용- Pin Mapping주어진 table를 이용하여 VHDL에서User ... 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다.
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • vhdl 디지털 탁상 시계 설계
    추후 변경 가능.통합1) 시간 표시 방법 24시간 표시방법으로 통일.2) 시계로서의 동작 완벽함.설계보고서순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 ... 2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각 ... 문헌조사 : VHDL 프로그래밍 기초문법(김용규 저), 본인 구현자료 - 엘리베이터 구현, 8051계산기 구현.● 고객조사 : 싼 가격, 보기 쉬운 시계.발표자료(설문조사자료문헌자료검색자료
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대