• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(19)
  • 리포트(19)

"VHDL 시프트레지스터" 검색결과 1-19 / 19건

  • [VHDL]PIPO 시프트 레지스터 VHDL
    PIPO 시프트 레지스터(Shift Register)(1)동작적 표현엔터티 선언 pipo1비트 입력 포트 RST, SI, CL 선언8비트 출력 포트 REG_O 선언아키텍쳐 선언 behav8비트 ... 순차문(begin ~ end process) 수행RST = 0 이면 SR 에는 H00이 들어간다RST = 1 이면 CL 이 1 일때 상승 에지에서 SI 값을 SR(7) 에 넣고 시프트
    리포트 | 4페이지 | 1,000원 | 등록일 2005.11.28
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    VHDL Source그림11. 실습에 주어진 조건2-1)TestBench source그림12, 13. ... Circular shift4-2) logical shift(논리 시프트)논리 shift이다. ... ko/q/4281774 동기/비동기 리셋fundamentals of logic design, Charles, Larry L Kinney 7th3.Source & Results1-1)VHDL
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 순차회로 설계 예비보고서
    구분된다.- 병렬 레지스터(parallel register)는 2진 정보의 저장을 위해 사용되므로 저장 레지스터(storage register)라고도 하며, 시프트 레지스터(shift ... 또한, 레지스터는 여러 개의 F/F와 그들의 상태전이에 영향을 주는 게이트의 조합으로 구성되어 있다고 할 수 있다.- 레지스터는 동작 방법에 따라 병렬 레지스터시프트 레지스터로 ... Q+ = TQ' + T'Q(3) Register- 레지스터는 한 비트의 2진 정보를 저장할 수 있는 2진 기억 소자인 F/F를 병렬로 연결한 것이다.- F/F의 숫자는 레지스터의 길이를
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 논리회로설계실험 FlipFlop Register 예비보고서
    시프트 레지스터(Shift register)는 2진 정보를 왼쪽 또는 오른쪽으로 이동 시킬 수 있는 레지스터이다. ... 또한 D Flip-flop 4개를 가지는 병렬 레지스터 회로도를 바탕으로 4비트 시프트 레지스터VHDL 코딩을 해 본다. ... D flip-flop 4개를 가지는 병렬 레지스터 회로도를 그려보고 4비트 시프트 레지스터VHDL을 사용하여 코딩 해 본다.D flip-flop을 사용한 병렬 레지스터 회로도4비트
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 순차회로 설계 결과보고서
    레지스터 VHDL 코딩(1) 소스 코드 - D F/FD F/F8bit shift register- reset에 1이 입력되면 출력은 항상 0이고, rising edge의 clock에서 ... 때문이다. 130ns에 rising edge clock이 걸리자 출력값이 새롭게 바뀌었음을 확인할 수 있다.- 나머지 CRL=E=0일 때 출력값은 항상 값을 유지하였다.2. 8비트 시프트 ... 레지스터1.
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • VHDL실습 메모리(ROM,RAM)설계
    DATA_OUT : read datasignal2.실습내용signal을 이용한 시프트레지스터 설계는 다음과 같다.6번째 줄~12번째 줄? ... 내부에 4개의 플립플롭이 생긴다RTL뷰어를 통해 내가 설계한 것을 다음과 같이 확인할 수 있다. 4개의 플립플롭이 생긴 것을 확인할 수 있다.variablevariable을 이용한 시프트레지스터 ... VHDL 레포트1.서론 - (1) signal vs variable(2) ROM vs RAM2.실습내용 - (1) signal(2) variable(3) ROM(4) RAM1.서론(
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • 디지털공학실험 07. 직렬덧셈기 결과
    < 순차 회로 직렬 가산기 With Accumulator 결과보고서>실험serial adder는 2개의 시프트 레지스터가 Full Adder로 입력하여 더해진 출력값을 다시 1개의 ... 레지스터에 저장하는 회로이다.C는 carry되는 값을 뜻하고 D플립플롭을 통하여 클럭이 들어올때 Full Adder로 다시 입력된다.S값은 입력값들이 더해지고 carry값을 뺀 나머지 ... 수업자료의 직렬가산기의 Operation과 그를 통한 상태표와 상태그래프를 통해서 VHDL 모듈 코드를 작성했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • 06 논리회로설계실험 결과보고서(순차회로)
    실험 목표JK 플립플롭을 VHDL을 이용해 설계해본다.레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다.2. 실험 결과실험 1. ... 출력 값이 바뀌기 전까지는 이 전 상태 값을 지니고 있기 때문에 설계한 8비트 병렬 레지스터가 정상작동 함을 확인 할 수 있다.실험 3. 8비트 시프트 레지스터 VHDL 코딩(1) ... 우선 D플립플롭을 if, elsif문으로 설계한 후에 구조적 설계를 이용하여 스케메틱 방법처럼 플립플롭들을 한데 모아 동작하도록 하였다.8비트 시프트 레지스터는 입력 값이 8 클락
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 06 논리회로설계실험 예비보고서(순차회로)
    레지스터(parallel register)와 시프트 레지스터(shift register)로나뉘는데, 병렬 레지스터는 2진정보의 저장을 위해 사용되어 저장 레지스터라고도 한다.시프트 ... 각 각 어떤 종류의 래치와 플립플롭이 있는지 알아본다.JK 플립플롭을 VHDL을 이용해 설계해본다.레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다.2. ... .- 시프트 레지스터시프트 레지스터는 2진 정보를 이동시킬 수 있는 레지스터이다.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서HDL을 이용한 설계7-세그먼트 디코더시프트 레지스터0. ... 실험목적7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.1. ... 이론. 7-세그먼트 디코더0) VHDL로 표현library Ieee;use ieee.std_logic_1164.all;entity seg_decoder isport(cnt_in :
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 논리회로실험 - 제 6장 VDHL의 순차회로 중 shift를 설계 결과보고서
    여기서 양수 일 때 부호 비트는 0으로 유지/음수 일 때 부호 비트는 1로 유지해야하고 왼쪽 시프트일 때는 logical shift와 방법이 같다. ... 0.00000025 × 2000000 = 0.5초-Clk_d는 0.5초 동안 “L”이다가 다시 0.5초 동안은 “H”동작을 반복-1Hz의 클럭이 발생(2)어떻게 이 회로를 구성할 것인가1) VHDL ... 코드를 구성하는 기본 설정(1)설계 사항2)-shift register를 설계한다.
    리포트 | 15페이지 | 1,000원 | 등록일 2014.08.15
  • VHDL을 이용한 Shift Register구현
    VHDL실습 4주차 레포트Shift Register담당교수 :담당조교 :전자공학과▶Shift Register? ... 비트의 2진수를 레지스터에 저장하려면 플립플롭이 4개 필요하다. 2진수를 레지스터에 직렬로 입출력할 수 있게 플립플롭을 연결한 것을 시프트 레지스터 (shift register)라고 ... 한 번에 여러 비트를 입출력할 수 있는 레지스터는 병렬로 데이터가 이동한다고 한다.가장 간단한 형태의 시프트 레지스터는 플리플롭의 전합으로 된 것인데, 클럭 또는 시프트 입력에 따라
    리포트 | 7페이지 | 2,000원 | 등록일 2010.12.27
  • 플립플롭및레지스터결과보고서
    (register)1.4.1 시프트 레지스터2. ... 1.4.1 시프트 레지스터(shift register)- 클럭 펄스에 의해 저장된 데이터를 왼쪽 또는 오른쪽으로 한 비트식 시프트하는 레지스터우측 시프트 레지스터좌측 시프트 레지스터2 ... )2.7 실험 7 (시프트레지스터)3.
    리포트 | 14페이지 | 1,500원 | 등록일 2009.05.25
  • 카운터결과보고서
    카운터(counter)는 시프트 레지스터와 마찬가지로 일련의 플립플롭을 연결한 회로지만 그 연결하는 방법에 있어서 시프트 레지스터와 다르다. ... Asynchronous Counter의 VHDL 설정① clk, rst를 INPUT, cnt를 OUTPUT설정② PROCESS 선언③ IF, ELSEIF 조건 구문 설정* 플립플롭의 ... 실험순서① 3비트 Synchronous Counter를 VHDL 기술플립플롭의 클럭 입력이 모두 동일한 경우이며, 대체적으로 회로는 복잡하지만 속도는 빠르다.② Waveform으로
    리포트 | 12페이지 | 1,500원 | 등록일 2009.05.25
  • HDL에 대한 조사
    조금씩 설계해서 HDL라이브러리를 구축할 수 있는데 즉, 카운터, 시프트 레지스터, 소규모의 것부터, CPU core, 화상 압축 등의 대 규모의 것까지 라이브러리화 한다면 강력한 ... 이 방법이 HDL로 설계하는 것이다.HDL의 종류에는 Verilog, VHDL, AHDL, JHDL, SFL 등 많은 종류가 있지만 실제로는 Verilog와 VHDL이 주로 사용된다 ... 특히 VHDL은 우수한 모델링 능력과 특정 기술이나 공정에 무관하게 사용할 수 있고, 회로의 문서화도 용이하여 광범위하게 사용된다.2.
    리포트 | 3페이지 | 3,000원 | 등록일 2008.04.03 | 수정일 2017.03.21
  • Dot Matrix 에 대한 최종적인 연구 내용과 작성한 VHDL 소스
    정적 구동인 경우는 시프트 레지스터의 비트를 LED 개수 만큼 준비하고 여기 에 데이터를 보내어 점등하는 방식을 h한 번에 모든 LED를 ON/OFF한다.동적 구동은 매트릭스 LED를 ... =>위의 마이크로프로세스 회로도는 VHDL 단계까지 하는 프로젝트라서 똑같이 만드는 것은 불가능 하다고 생각되었다. ... 1.연구 개요Dot Matrix 에 대한 최종적인 연구 내용과 작성한 VHDL 소스를 주로 다룬다.2.연구 내용1)Dot Matrix< Dot Matrix>이 사진은 원 칩 마이크로프로세서
    리포트 | 13페이지 | 3,200원 | 등록일 2008.11.23
  • [논리회로]병렬 로드를 가지는 4bit 양방향 시프트 레지스터
    2. 프로그램 소스(1) mux.vhdlibrary ieee;use ieee.std_logic_1164.all;entity mux4x1 is port( data1, data2, data3, data4: in std_logic; sel ..
    리포트 | 8페이지 | 1,500원 | 등록일 2006.05.29
  • FPGA 구조와 응용
    TTL, CMOS 로직, ECL등의 회로가 기술상으로 분류한 패밀리중에는 게이트 IC 혹은 래치(플립플롭), 디코더, 인코더, 카운터, 시프트 레지스터등 여러 가지의 기능을 갖고 있다 ... VHDL이나 회로를 ORCAD에서처럼 직접 그리는 것을 일컫는 스키메틱 캡쳐 방식등을 이용해서 디지탈 로직을 구현한다. ... 초기단계는 논리회로에 대한 회로를 작성하는 일로써 스케매틱 편집기, 부울식, 상태도 또는 VHDL등과 같은 언어를 따로 또는 혼합하여 사용할 수가 있다.
    리포트 | 20페이지 | 2,000원 | 등록일 2007.03.23
  • [ALU] 4bit ALU
    출력은 레지스터 내에 있는 결과와, 수행된 연산이 성공적이었는지에 관한 상태를 알려주는 값들로 구성된다. ... 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있다. ... 입력에 대한 모드선택은 입력S1이 산술연산과 논리연산을 구별하며, S0는 특정한 산술 및 논리연산 동작을 생성한다.4비트 ALU 회로의 VHDL 표현Process 문이기 때문에 순차적으로
    리포트 | 4페이지 | 1,000원 | 등록일 2003.11.27
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대