• 통큰쿠폰이벤트-통합
  • 통합검색(472)
  • 리포트(420)
  • 시험자료(28)
  • 논문(6)
  • 서식(6)
  • 방송통신대(6)
  • 자기소개서(3)
  • ppt테마(2)
  • 노하우(1)

"Z-Map" 검색결과 1-20 / 472건

  • 전북대학교 전자공학부 일반편입 24,23,22 필기시험 문제와 면접 문제 및 후기
    Full Adder의 입력 x,y,z 를 Sum와 Carry로 출력을 나타내시오.2-(a) Sum은 XOR만을 이용해 표현하시오.2-(b) Carry는 K-map을 이용하여 SOP ... a) F=xy'+x'z' 를 K-map을 이용하여 Don't care를 사용하지 않고 합의 곱 형태 (Sum of product) 형태로 나타내시오.1-(b) 기억이 나지 않습니다. ... 문제1-(b) 2계 ODE 문제, (제차방정식)2-(a) 복소수 문제였고, 복소수의 해를 모두 구하시오 (답 3개를 모두 쓰시오)2-(b) 루트8의 1/3제곱?
    자기소개서 | 3페이지 | 8,000원 | 등록일 2024.02.08 | 수정일 2024.08.05
  • 부산대학교 일반대학원 약학과 연구계획서
    (Z)-5-(3-히드록시-4-메톡시벤질리덴)-2-티오옥소티아졸리딘-4-온(5-HMT)의 티로시나제 억제 활성의 시험관내 및 생체내 증거 연구 등을 하고 싶습니다.저는 또한 YM 5의 ... 신경 염증을 조절하는 기전 연구, MPTP로 유도된 파킨슨병 모델에서 PPAR α/γ 이중 작용제인 MHY908의 신경보호 효과 분석 연구 등을 하고 싶습니다.저는 또한 DCX 및 MAP2의 ... 결장 특정 전달자로서 N,N`-bis(5-aminosalicyl)-L-cystine의 합성 및 특성 -아미노살리실산 및 시스틴 연구, 장시간 항균 활성을 갖는 고분자 항균제로서 셀룰로오스
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.08.21
  • 전기회로 실험 47,48,51장 결과보고서 ( ZBAR 기초회로실험 교재 기반, A+ 최고 퀄리티 보장 )
    실험 결과Applied Voltage V, Vp-pResistor Current and PhaseIR, mAp-pInductor Current and PhaseIL, mAp-pCapacitorCurrent ... and PhaseIc, mAp-pResistor and Capacitor Current and PhaseIRC, mAp-pResistor and Inductor Current and ... PhaseIRL, mAp-pTotal Currentin RLCcircuit and Phase(Measured)IT, mAp-pTotal Current (calculated Using
    리포트 | 7페이지 | 1,500원 | 등록일 2020.11.13
  • 디지털논리와 컴퓨터설계(5판) 3장 연습문제
    D’2,D’1,D’0A0 = D1,D’0 + D3,D’2,D’1,D’0V = D0+,D1,D’0 + D2,D’1,D’0 + D3,D’2,D’1,D’0(3) 각 출력 함수에 대해 K-Map을 ... 식을 구하 .다만,~V~함수는~먼저~ {bar{V}} ~에~대해~최소항의~`합의~식을~가지고~최소화한~후#다시~보수를~취하면~간단히~구할~수~있음 ※ 각 출력함수에 대해 4변수 K-Map으로 ... {Y}} {bar{Z}} +YZ#F _{3} =YZ+XY#F _{4} =bar{X}Y+XY bar{Z} - 조합회로가 아래의 4가지 부울 함수로 정의되어 있다. 1) 4개의 함수 모두
    리포트 | 3페이지 | 2,500원 | 등록일 2021.10.25
  • 기초전자회로실험1 6주차 결렙 Karnaugh Map 활용
    Karnaugh Map ( K-maps ) - Boolean 대수의 진리표를 Karnaugh Map 에 맵핑하는 방식 적은 수의 Boolean 대수 식을 간략화하기 위한 편리한 방법 ... = A’B’ + AB’ + ABC K-maps 간략화 = Z = B’ + AC AB C (A) B’ (Wrap around) (B) ACX = A + AB A B X 계산 Pspice ... 임의의 논리식 Z(A,B,C)=ABC+AB’C+BC Z=ABC+AB’C+BC=( A+B)C Karnaugh Map 활용 , 함수 변환 ( 합 →곱 ) 합의 함수를 곱의 함수로 변환
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.07 | 수정일 2020.10.12
  • KCU 스페인 문화와 예술 여행계획과제 (A+보장)
    hl=ko5. google map 검색, 벙커.https://www.google.co.kr/maps/place/%EB%B2%99%EC%BB%A4/@41.4194111,-69.5569338,2z ... hl=ko6. google map 검색, cacho&pepe, https://www.google.co.kr/maps/place/cacho%26pepe/@37.1759282,-3l=ko ... /@41.3952152,2.1531476,15z/data=!
    리포트 | 10페이지 | 3,000원 | 등록일 2021.11.08
  • [기기분석] Nano FE SEM Manual (장비 사용법)
    information/Morphology-internal information/EDS-원소분석(mapping)SE-표면/BSE(후방산란)-원소 별 명암차이(코팅 시)/X-ray-EDSVoltage ... SEM image에서 scan-external하고 CCD Camera는 일시정지하기2. EDS 프로그램 키고 collect image or collect maps3. ... (Z가 lock이라면 Z= stage-home stage)- Spot =3.5, Voltage =10- HV on, 밝기 조절- Coarse, Fine으로 WD 5mm에 맞춘다.-
    리포트 | 4페이지 | 2,000원 | 등록일 2021.10.17
  • R 언어를 이용한 데이터 크롤링 및 가공
    , removeWords , mystopword ) removeSpecialChars - function ( x ) gsub ([^a-zA-Z0-9 ],, x ) matchTweets_text_corpus ... - tm_map ( matchTweets_text_corpus , removePunctuation ) matchTweets_text_corpus - tm_map ( matchTweets_text_corpus ... , removeNumbers ) matchTweets_text_corpus - tm_map ( matchTweets_text_corpus , content_transformer (
    리포트 | 6페이지 | 2,500원 | 등록일 2023.01.16
  • [디지털공학개론] 아래의 POS형 부울 함수들에 대한 카르노 맵을 작성하세요. 단, 맵에는 '0'으로 채워지는 셀들만 표시하세요.
    특히, 카르노 맵(Karnaugh Map, K-map)은 부울 대수를 간소화하는 데 매우 유용한 도구로, 2차원 평면에서 부울 함수를 시각적으로 표현하고 간소화하는 방법이다. ... 부울 함수는 흔히 소위 곱의 합(AND-OR)이나 합의 곱(OR-AND) 형태로 표현되며, 이 두 가지 표현 방식은 회로 설계와 최적화에서 중요한 역할을 한다. ... F(x,y,z) = x'(y + z)(x' + y + z') 이제 두 번째 부울 함수 F(x,y,z)를 카르노 맵을 사용하여 분석한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.08.22 | 수정일 2024.09.03
  • [계측공학 및 실습]GPS를 이용한 위치 및 속도 측정_결과보고서
    \map.JPG');>> image(z)>> hold on>> plot(lon,lat,'+r')>> xlabel('Longitude')>> ylabel('Latitude')>> title ... 창을 투명하게하고 축을 늘이기만 한다면 신뢰할만한 그래프가 나올 것이라고 생각된다.b) imread / image 함수 사용>> z = imread('C:\Users\User\Desktop ... Longitude')>> ylabel('Latitude')>> title('GPS TRACKING')>> grid on출처 : http://www.ahowto.net/matlab/matlab-setting-an-image-as-form-background그림14
    리포트 | 8페이지 | 2,000원 | 등록일 2023.02.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    S=0 이고 E=1 일 때는 B이며 나머지 경우에는 Z이다.- 2X1 multiplexer(MUX)의 형태이다.4. ... 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- ,(3) 교안의 2:1 Mux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 ... 방법으로 설계하시오.-(4) 교안의 1:4 Demux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- , , ,(5) 모든 실습에 대하여 Verilog
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 워드 카운팅 과제 작성 내용
    .~{}]','',text.lower().strip())return re.sub(r'[^a-z0-9\s]','',text.lower().strip())data = sc.textFile ... (w,c): -c)print '\n'.join(map(lambda (w, c): '{0}: {1}'.format(w, c), top38wc))*출력 화면 예시the : 27842and ... \map(lambda word:(word,1)).reduceByKey(lambda a,b: a+b).
    리포트 | 4페이지 | 2,500원 | 등록일 2021.09.20
  • 서강대학교 디지털논리회로실험 레포트 3주차
    ARABIC 16각 map에 대해 minimization한 결과는 다음과 같다.a=XY’Z’+XYZ+X’Y’Zb=Y+X’Z’c=X’Y+X’Z+YZd=X’Y’Z+XZe=X’Y+Y’Zf ... 2표 2는 카르노 맵을 표현한 것이다.이를 식으로 나타내면 F=X’Z’+Y’Z이다.구한 F를 AND-OR-NOT gate들을 이용하여 표현하고 74LS08, 74LS32, 74LS04를 ... 일반적으로 사용되는 minimization 방법으로는 Karnaugh map이 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 인하대학교 c++프로그래밍 SFML을 이용한 게임 구현 term project (Mahjong Solitaire)
    텍스트 파일 업로드 map.txt 파일 업로드 타일이 배열되어 있는 상태를 텍스트 파일로 저장해 둠 x, y, z 가 나타내는 타일 좌표를 통해 타일이 층이 생기게 쌓였음을 나타내 ... 좌표를 리턴 한다 . int f(int x, int y, int z): 리턴 받은 좌표 x, y, z 에 대해 field 라는 3 차원 배열을 리턴 한다 . ... 벡터 안에 현재까지 마우스가 클릭한 타일들의 위치들이 존재하기 때문에 pop_back 함수를 실행하여 타일 위지를 제거하게 되면 클릭했던 타일이 다시 원상복구 된다 .5-1.
    리포트 | 10페이지 | 3,000원 | 등록일 2022.04.24
  • 세종대학교 소프트웨어 특강 과제1
    .xlsx", sheet_name = 'HW1-2')data['color'] = data["Class"].map({"Iris-versicolor" : "#0000FF", "Iris-virginica ... m)data_n['color'] = data_n['Class'].map({"Iris-versicolor" : "#0000FF", "Iris-virginica" : "#00FF00"} ... :return 1 / (1 + np.exp(-z))# for 3def cost(d, weight):error = 0for i in range(len(d)):y = d['Class']
    리포트 | 12페이지 | 2,500원 | 등록일 2023.06.24
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    + Y’Z 이다.STEP 9:step8에서 구한 F를 and, or, not gate들을 이용하여 구현해보았다.그림25이 때 X는 DIO0, Y는 DIO1, Z는 DIO2이고 F는 ... 효율적이다.카르노 맵은 Truth table을 순서를 바꿔 작성한 것인데, 인접한 cell들 사이에 한 개의 변수만이 차이가 나도록 gray code로 배치한다.Karnaugh map이 ... design설계하려는 회로에 대한 논리식은 Boolean Algebra에 의해 최적화할 수 있다.Boolean Algebra하지만 일일이 대수적 방법으로 변환하는 것보다 Karnaugh map
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 제약산업 멸균공정 (무균공정) 정리
    assurance level): 12 log reduction② Z value- D value가 10배 차이 날 때의 온도- 예를 들어,D _{121} =1.5 이고, Z-value ... → BI check- blank test, load test (load material, quantity, patten) → temperature mapping해 시간에 따른 변화 ... = 10℃이면D _{131} =0.15- 모든 미생물은 고유의 Z value를 가짐③F _{0} value- 특정한 온도 (설정 온도)에서 기준온도 (121℃)에서 멸균하는 것과
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.28
  • [아주대학교 A+] 논리회로 기말고사 족보
    논리함수 F(A,B,C,D)의 Karnaugh map에서 입력의 표시 순서는 아래 그림과 같아야 함(그렇지 않으면 0점 처리함).1. ... 다음은 입력 A, B와 출력 Z를 가진 state machine이다. State/output table을 작성하고 state diagram을 그리시오. ... ](2) 이 논리함수를 minimal 2-level NOR-NOR 회로로 설계하시오. [10점]2.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • 시립대 전전설2 A+ 5주차 예비레포트
    테스트벤치 수행 후 장비동작 확인입력: a, b, c, d -> BUS SW 1, 2, 3, 4출력: f -> LED1테스트벤치는 16가지 입력 경우의 수를 모두 체크카르노맵(Karnaugh-Map ... 처리don’t care(z)를 갖는 case문casez문: z를 don’t care로 취급하여 해당 비트를 비교에서 제외- don’t care 조건으로 ‘?’ ... 목차 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc148037401" 1.
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • 러시아 배낭여행 계획안
    맥주집 Mister Brovkinhttps://www.google.com/maps/place/Mister+Brovkin/@52.282197,104.286363,17z/data=! ... " https://www.tripadvisor.co.kr/Restaurant_Review-g298527-d6075490-Reviews-Poznaya_Na_Kanadzavy-Irkutsk_Irkutsk_Oblast_Siberian_District.html벨기에 ... 식당 Hyperlink "https://www.tripadvisor.co.kr/Restaurant_Review-g298527-d6075490-Reviews-Poznaya_Na_Kanadzavy-Irkutsk_Irkutsk_Oblast_Siberian_District.html
    리포트 | 3페이지 | 2,500원 | 등록일 2022.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대