• 통큰쿠폰이벤트-통합
  • 통합검색(157)
  • 리포트(140)
  • 시험자료(15)
  • 논문(1)
  • 자기소개서(1)

"bcd코드 사용 이유" 검색결과 1-20 / 157건

  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    BCD코드 정의이진화 십진법BCD(Binary-Coded-Decimal code)은 이진수 네자리를 묶어 십진수 한자리로 사용하는 기수법이다.이진수 네자리가 십진수 한자리에 바로 대응되기 ... BCD(Binary-Coded-Decimal code) 구현 방법BCD 코드는 10진수의 각 비트를 4비트의 2진수로 나타낸다.1010, 1011, 1100, 1101, 1110과 ... 전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 전기및디지털회로실험 실험7 예비보고서
    대표적인 것으로는 10진수를 2진수로 변환시키는 10진2진인코더, 10진수를 2진화10진코드(BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다.예를 들어 0∼9의 ... 제어 문자들은 역사적인 이유로 남아 있으며 대부분은 더 이상 사용되지 않는다. ... (mnemonic code)로 변환(convert)하는 역할을 하는 프로그램의 것을 디코더(decoder)라고 하는 경우가 있다.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • 시립대 전전설2 Velilog 결과리포트 6주차
    그 후 BCD코드가 들어가면 0011을 더해주어 Excess-3 code가 나오도록 한 회로이다.? 진리표3. ... -3 code ConverterSIPO Resistor을 사용해서 BCD to Excess-3 Convertor를 만든 회로로써, Input값에 BCD를 입력할 때 SIPO Resistor를 ... 응용과제의 코드를 작성할 때 처음에 syntax오류가 계속해서 발생했었는데 그 이유는 output인 [3:0]exc를 reg [3:0] exc;로 입력 해서였다. always구문 안에서
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.(4) BCD (binary_coded decimal)BCD는 Binary-Coded Decimal을 줄인 말로 단어에서 ... 이러한 이유BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다 ... 또한 7segment와 bcd코드의 원리에 대해서 알아본다.2. 예비 이론(1) 디코더디코더는 또 다른 공통적으로 사용되는 유형의 집적 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • c로 배우는 쉬운 자료구조 1장.
    (2003년 기출문제)가. 0110100 나. 1110100 다. 1110101 라. 011010113. 10진수 634를 BCD code로 표현하였을 때 옳은 것은? ... 있는 주요 이유는? ... EBCDIC 코드사용하여 영어 대문자 A~I를 표현할 때 EBCDIC 코드의 존 비트(상위 4비트)의 값은 무엇인가?110015. ASCII 문자에 해당하지 않는 것은?
    리포트 | 4페이지 | 5,000원 | 등록일 2022.05.20
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    토의실험 1에서는 code converter를 구현하였다. ... 한편, 실험에서는 입 력의 BCD 코드로 0000 ~ 1001만 사용했으나 74LS47의 데이터 시트에는 입력이 1010 ~ 1111일 때의 출력도 나타나 있었다.실험 4에서는 priority ... 이유는 알 수 없으나 앞으로 FPGA 구현시 실험실의 컴퓨터를 사용하는 것 이 좋을 것 같다.4. 참고문헌
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디지털 논리회로(생능출판, 김종현) 2단원 정리
    가지지 않는 비가중치 코드(non-weighted code)ex) 82610 = (1011 0101 1001)excess-3(5) 존슨 코드(Johnson code)- 다른 코드들과는 ... 수행할 수는 없지만, 전자회로의 설계가 용이해진다는 장점을 가지고 있음*자기-보수 코드(self-complement code)- 어떤 10진수를 d라고 할 때, 그에 대한 9의 보수는 ... 2-1코드- 우측의 두 비트가 음의 자릿수를 가지는 가중치 코드 방식- 비트들은 좌측부터 각각 8, 4, -2, -1의 자릿수를 가짐(4) 3증수 코드(excess-3 code; 3
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • Term_Project_보고서_1조
    .3)출력덧셈의 마지막출력이 bcd코드이고 이 값을 7segment로 보여줘야 하는데 7segment는 bcd코드로 입력을 받는다. ... 출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인 ... DIP 스위치를 연결시킬 때 풀업저항을 사용하였는데 그 이유는 풀업저항이 스위치가 OFF일 때 floating된 값들을 High 상태로 끌어올리기 때문에 사용하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 시립대 전전설2 Velilog 결과리포트 5주차
    코드에서 3 초과코드사용하는 이유BCD코드에서는 음수를 2의 보수로 표현하게 되어 음수로 값이 나오면 그 값을 다시 보수를 취해주어서 해석해야 한다는 단점이 있지만 3초과코드를 ... 같은 진리표를 얻을 수 있다.논리회로논리식Q = S1’S2’A + S1’S2B + S1S2’C + S1S2D4) BCD Code (8421 코드)BCD = Binary-Coded ... 사용하를 2의 보수로 표현하게 되어 음수로 값이 나오면 그 값을 다시 보수를 취해주어서 해석해야 한다는 단점이 있던 것을 3초과코드사용하게 되면 그 과정이 줄어들게 된다단점 :
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험 예비보고서8
    실험에 대한 이론·Counter상태들을 주기적으로 순환하는 순서회로로, 출력 형식에 따라 다양한 counter가 존재하는데 가장 널리 사용되는 카운터는 n비트 2진 counter이다 ... and co-workers in their professional development and to support them in following this code of ethics ... 그 이유는 앞 단의 플립플롭 출력이 뒤 단의 입력으로 전달될 때 지연이 발생하기 때문이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.09.18
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7447 디코더- 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다. ... 이때 VCC 단자가 두 개인 이유는 필요에 따라 선택적으로 사용하기 위함이다.- 위 사진에 주황색 ①로 표시한 LED와 같이 VCC 단자에 전원을 인가하고 캐소드를 접지시키면 해당 ... BCD 코드(Binary Coded Decimal Code)를 10진수로 변환하여 7-segment에 표시해주는 7447 디코더의 동작을 살펴본다.?
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 논리회로 간소화 결과보고서 A+
    결과 분석이번 실험에서는 전위차를 이용하여 BCD의 부당한 코드를 탐지하는 회로를 구성하였다. ... BCD코드에서 1100(10진수 12)은 허용범위(0000~1001)에서 벗어났으므로 부당한 코드이고 이는 곧 LED에 불이 들어와야한다. ... BCD코드에서 1110(10진수 14)은 허용범위(0000~1001)에서 벗어났으므로 부당한 코드이고 이는 곧 LED에 불이 들어와야한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 디지털 회로 실험 및 설계 - 74LS192를 이용한 Up-Down Counter 실험 1
    7447 디코더- 7447 디코더는 BCD 코드를 10진수로 바꾸어 7-segment에 표시해 준다. ... 이때 VCC 단자가 두 개인 이유는 필요에 따라 선택적으로 사용하기 위함이다.- 위 사진에 주황색 ①로 표시한 LED와 같이 VCC 단자에 전원을 인가하고 캐소드를 접지시키면 해당 ... BCD 코드(Binary Coded Decimal Code)를 10진수로 변환하여 7-segment에 표시해주는 7447 디코더의 동작을 살펴본다.?
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 결과레포트 [참고용]
    BCD 디코더(7447)를 통한 숫자표시기 실행결과 분석 (주석에 의한 코드분석 및 결과 첨부)3-2-1. ... 이에 대응하여 '결과분석 3-1'을 보면, 7447디코더 없이 전압조건에 따라 숫자표시기 입력단자에 BCD 코드에 의한 진리값(디지털논리)를 할당하여 숫자표시기를 제어한다. ... 코드 내에서 디코딩한 숫자표시기 실행결과 분석 (주석에 의한 코드분석 및 결과 첨부)const int a = 13; // 핀번호 변수설정const int b = 12;const int
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    23)BCD 코드를 FND에 숫자로 표시되도록 decode하는 코드 작성.3. ... DE2 보드는 common annode 방식으로 0을 출력할 때 표시가 된다.2.소스코드 설명4~7)BCD 값을 입력받기 위한 포트와 FND 표시를 위해 출력용도의 포트 선언.11~ ... 그 외에는 안정적인 동작을 위해 High Impedance가 되도록 설정. not b으로 출력하는 이유는 DE2 보드는 기본적으로 풀업 저항을 사용하므로 default 값이 High인
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 논리회로 (정연모) 기말 전체 족보 정리
    register 쓰는 이유5) PLA 설명6)hold time7)binary counter와 BCD counter 차이점2.binary adder 와 serial adder의 장단점 ... .2) 병렬을 가진 이진 카운터를 이용하여 BCD카운터를 설계하되 CLEAR 입력을 사용하는 경우를 고려하여 그리고 설명하시오.4.1)SR F/F을 이용하여 memory cell의 ... 2가지 이상씩 쓰기.3. 10100110을 해밍코드를 이용하여 12bit로 표현하고 유도하는 과정 서술. 11번째 bit가 오류일 때 C8C4C2C1이 무엇인지 설명하라.
    시험자료 | 2페이지 | 1,500원 | 등록일 2022.04.07
  • 디지털논리회로(챕터1,2,3)
    다음 BCD 코드를 10진수로 변환하여라.① 10000000 ③ 11010001107. 2진 코드는 그레이 코드로, 그레이 코드는 2진 코드로 변환하여라.① 1011(2) ③ 1001 ... 음수를 표현할 때 부호와 절대치나 1의 보수보다 2의 보수를 선호하는 이유를 설명하여라. ... 어떤 컴퓨터에서 정수로 15비트 2의 보수를 사용한다고 할 때 정수의 표현 범위를 계산하여라.15.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.10.10
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)10. 7-segment Decoder 회로 설계 할인자료
    잘 되었다면 그 근거는 무엇이며 잘 안되었다면그 이유는 무엇인지 기술하라.실습이 잘 되었다고 생각한다. 7-Segment의 Type을 확인해보았고, common 단자에 high, 각각의 ... 이 두 개의 부품을 연결하여 계산기의 연산회로로부터 나오는 BCD부호를 발광 Diode를 이용한 10개의 수치로 나타내는 수치 디스플레이 장치에 사용되기도 한다. ... 그 결과 data sheet 상의 7-segment / Decoder 출력과 동일한 것을 확인하였다.전자전기장비에 많이 사용되는 bandpass filter를 R,L,C를 사용하여
    리포트 | 3페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 2020년 지식정보사회와 컴퓨터활용 중간고사 (1~7주차)
    BCD 코드에 4개의 존 비트를 주가한 것으로 확장된 BCD코드라고 함.@ASCII 코드? ... 한글 : 자음과 모음 24개, 0과 1로 여러 비트를 모아 각기 조합함.+ 2진수 기호로 모든 자료를 기호화하여 나타낸 기호 체계를 코드라 함.+@ 표준 BCD 코드BCD = 4개의 ... 메모리 최소 저장 공간인 C 관리
    시험자료 | 42페이지 | 1,500원 | 등록일 2020.06.16
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    : 시뮬레이션 옵션이 반드시 쿼터스2 시뮬레이션으로 선택할 것.Case를 사용BCD_counter의 RTL VIEWER3. case 구문을 사용한 GRAY Code Counter ... (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용BCD_counter의 타이밍 시뮬레이션도주의 ... 목 차번호내 용쪽 수1State Machine(상태 머신) 개요12case 구문을 사용BCD Counter 만들기23case 구문을 사용한 GRAY Code Counter 만들기34case
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대