• 통큰쿠폰이벤트-통합
  • 통합검색(265)
  • 리포트(262)
  • 논문(2)
  • 시험자료(1)

"bcd to 7-segment" 검색결과 1-20 / 265건

  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 ... 7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 설계 목표BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    first(P3, P2, P1, P0, a1, b1, c1, d1, e1, f1, g1);//14// BCD_to_7segment second(P7, P6, P5, P4, a2, ... .//14// BCD_to_7segment 형 모듈 second를 선언하며 인자로 P7, P6, P5, P4, a2, b2, c2, d2, e2, f2, g2) 을 전달함.//15~ ... (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9, P8, P7, P6, P5, P4, P3, P2, P1, P0);//13// BCD_to_7segment
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    연결 BCD 카운터 출력 4bit 을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment 에 연결한다. ... 이때 Decoder 와 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... BCD 각 4자리를 7-Segment로 출력하는 회로module svnseg(in,seg);input [3:0]in;output [6:0]seg;reg [6:0] seg;always ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 실습 2 Piezo, 7-segment 설계‘도레미파솔라시도’의 8음계를 Piezo와 7-segment를 통해 출력하는 로직의 설계를 동시에 하는 것이 실습 2이다. ... 통해 설정하였다.이후 들어오는 입력값에 대응하여 7-segment의 출력값을 또 case문을 통해 설정하였다.
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    1을 입력해준다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet 를 확인하시오.BCD to 7-segment decoder ... .2.6 응용실험 (3)BCD to 7-segment decoder 7447 칩의 3번 다리에 0을 입력해주면 모든 LED에 불이 들어올 것이다.2.7 응용실험 (4)BCD to 7 ... 경우 ABCD의 입력 4개 값을 받아 LED 결과를 보여주는 것을 보아 BCD to 7-segment decoder 7447 칩의 기능과 7-segment 5161 칩의 기능이 모두
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • Term_Project_보고서_1조
    때문에 7447(BCD-to-7segment)을 이용하여 연산에서 사용된 2진수를 7segment에서 이용할 수 있도록 변환시켰다. ... 출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인 ... 그 다음 7447(BCD-to-7segment)을 사용하여 입력 값들을 바로 7segment에 보여줄 수 있게 설계하였다.Switch?ON?OFF?(a) 그림?0V (Low)?
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7- ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment ... 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 시립대 전전설2 A+ 8주차 예비레포트
    (시뮬레이션 및 장비 검증)입력: input [3:0] bcd -> 0000 ~ 1001 (Bus SW로 입력)출력: output [7:0] out -> single 7-segment ... bcd 입력을 받아서 single 7 -segment FND를 제어하는 8-bit 신호(‘점’을 포함) out을 출력하는 모듈 ‘fnd_decoder()’을 디자인하시오. ... 커지면 다시 0으로 초기화.reset이 1일 경우, cnt_scan의 값을 0으로 초기화.cnt_scan의 값이 0~7을 반복하여 8 array 7-segment의 각 위치를 빠른
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 고려대학교 디지털시스템실험 A+ 5주차 결과보고서
    Binary to 7-segment를 구현할 때에 저번 시간에 만들었던 binary to BCD를 사용하였고, 이렇게 만든 Binary to 7-segment 함수를 이용해 7-segment ... 이번 실험을 통해 7-segment의 8자리가 어떻게 동시에 보여지는지 알 수 있었습니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • 논리회로설계실험 BCD가산기 레포트
    7-segment codeBCD to 7segBCD의 값을 7-segment로 바꿔주는 코드이다.input으로 4bit의 수를 받는다(5행).output으로 7bit의 수를 출력한다 ... 이용해 segment 각각에 값을 넣는다.4) main문에서 BCD 가산기와 BCD to 7-segment를 불러온다.5) Simulation을 이용해 BCD 가산기를 검증한다.4 ... BCD Adder to 7 segment 코드를 설계해야한다는 결과를 도출하였다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 실습 10. 7-segment_Decoder 회로 설계
    BCD-to-10진 디코더는 4개의 입력을 사용하고 16가지의 출력 중에서 10가지만 사용해서 BCD부호로 표시된 수치를 십진법으로변화시킨다.7-segment LED는 숫자를 표시하는 ... : 보고서 제출날짜:)요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    10가지만 사용하여 BCD 부호로 표시된 수치를 십진법으로 변화한다.BCD-to-10진 디코더의 진리표와 논리도는 다음과 같다.인코더는 m 방향에서 오는 입력정보를 n 방향의 출력정보로서 ... 수치로 나타내는 수치 디스플레이 장치 등에 사용된다.N 개의 입력에 대해 최대 2N 개의 출력 단자가 가능하며 BCD-to-10진 디코더의 경우 4개의 입력과 16가지 출력 중에 ... Decoder 와 7-segment 사이에 삽입하였다.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    (A)에서 생성된 Clock신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 3,2,6,7 노드를 통해 출력되면, 7-segment LED에 BCD카운터의 출력인 2진수를 출력하고자 카운터의 출력을 입력으로 display decoder로 전달한다. ... 따라서, decoder의 4개의 input으로 카운터의 3,2,6,7노드를 연결하면, 7개의 ouput이 나오게 되며 이를 각각 7-segment LED에 저항과 함께 연결하면, BCD카운터가
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    / 이론BCD to 7-Segment 디코더4비트로 구성된 BCD값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a,b,c~g 신호를 만들어내는 조합회로로서 에니메이션을 이용하여 ... 이것은 또한 기호나 숫자를 여러 가지 기호나 알파벳 문자를 코드 화하기도 하고 기호나 숫자를 코드화 하는 것을 인코딩이라 한다.7-세그먼트 표시기(seven segment indicator ... 실험 목적인 부호변환 회로의 설계방법과 7 -segment 의 사용법을 익히는 것도 인지하고 실험에 임해야겠다. PAGE \* MERGEFORMAT 2
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 홍익대학교 전전 실험1 부호기 예비보고서
    이때 필요한세그멘트(segment)수는 7개 이다.BCD-to-seven segment 위치와 숫자모양BCD-to-seven Segment 모형도BCD-to-seven Segment ... 14 × 2 인코더 진리표논리 회로도2.3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. ... segment 디코더BCD-to-seven segment디코더는BCD로된10진수를입력하여 일곱개의 출력을 내보는 회로로 일반적으로 10진수를 디스플레이(Display)하기 위하여
    리포트 | 9페이지 | 2,000원 | 등록일 2020.12.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대