• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(19)
  • 리포트(18)
  • 논문(1)

"bending plate sensor" 검색결과 1-19 / 19건

  • AI기반 재료설계 논문과제 2
    , plates as touch sensor의 조건에서 전기저항값의 변화 예측함.MeaningStrain에 대한 CNT polymer composite의 전기저항변화 예측에 대한 기존 ... 기존방법에서는 3.5h CPU 시간과 20 simulations이 필요한 반면, ANN 방법은 0.2s의 CPU시간이 걸렸다.Damage detection, strain sensing in bending
    리포트 | 1페이지 | 1,000원 | 등록일 2021.04.25
  • 과적차량 방지를 위한 유비쿼터스도로에 관한 연구
    한국전산구조공학회 조병완, 윤광원, 박정훈, 김헌
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.05
  • 다단식 연속 증류 실험 파이널 레포트
    Heater : Bend type2. Capacity : 3.500W5) PLATE DISTILLATION COLUMN1. Pyrex glass : ø 50mm x 100mm2. ... Vacuum 이중 Column6) TEMPERATURE SENSOR1. Measuring point : 12point2. Thermocouple : RTD & C.A3. ... 증류용기는 용량 3L 정도의 SUS 용기로 제작하고 외부를 전기 Heater (Bend형)으로 감싸 열이고루 퍼지도록 하였으며 보일러에 있는 시료용액의 량을 알 수 있도록 보일러 전면에
    리포트 | 12페이지 | 2,000원 | 등록일 2023.05.23
  • BIW 강성실험 및 shock absorber 실험
    굽힘 강성 구하기 ]굽힘 강성은 굽힘 하중에 대한 변형 저항을 의미한다.주어진 값(P,L,a,b,x)과 실험을 통해 구한 3, 11 point에서의 500kg의 하중을 가했을 때의 Bending ... 또한 굽힘 하중조건을 구현하기 위해서 좌우 두 개의 Screw Post를 세우고 T형 슬롯 베드에 고정하고, Jack Screw와 Cross Plate를 Screw Post에 끼우고 ... 자세제어(handling control), 진동제어(ride control), 주행 안전성 제어(driving safety control)전자제어식 현가시스템(ECS)는 컴퓨터와 센서
    리포트 | 9페이지 | 1,000원 | 등록일 2018.06.11
  • Spectrometer (pasco) 스펙트로미터
    필요장비Educational spectrometerSpectrophotometer Base, Degree Plate with Light Sensor Arm, Grating Mount ... Model SP-9268A spectrometer (Student spectrometer)프리즘이나 회절격자를 이용하여 빔을 구부린다(Bend). ... (CI-6538),High Sensitivity Light Sensor (CI-6604), Aperture Bracket (OS-8534)2.
    리포트 | 16페이지 | 1,000원 | 등록일 2015.10.11
  • 1. 증류 예비
    Type2.Capacity : 3.500W5) PLATE DISTILLATION COLUMN1.Pyrex glass : Ø 50mm x 100mm2.Stripping section ... : 4ea3.Rectifying section : 4ea4.Vacuum이중Column6) TEMPERATURE SENSOR1.Measuring Point : 12point2.Thermocouple ... 그림 ) Reboiler2.3.1 Reboiler▶ Reboiler는 용량 3리터 정도의 SUS 용기로 제작하고 외부를 전기 heater(Bend형)로 감싸 열이 고루 퍼지도록 하였으며
    리포트 | 14페이지 | 1,000원 | 등록일 2015.05.22
  • 제조공정 Term Project Final Report
    Bright Chrome plating plant.7. Powder coating plant.8. Chainwheel assembly Line.9. ... Assembly Line.Rim* Material:탄소섬유강화플라스틱(CFRP) & Aluminium (alloy)* Manufacturing Process: extrusion, bending ... : Vehicle speed, Crank speed*Torque sensor : Efforts Measurement*Slope sensor : Auto PAS & Regen mode
    리포트 | 18페이지 | 2,500원 | 등록일 2013.06.18 | 수정일 2014.05.18
  • LRC 회로
    but turned the graph upside-down.These graphs are the current of LRCs, but soon the current amplitude bends ... the plates. ... to the Science Workshop interface.We composed the LRC circuit and connected voltage sensor by four-point
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.24
  • 컨티레버센서
    Cantilever Sensor2 강의 목차 AFM 원리 Readout method Detection 상용장치 지문센서Principle of AFM different applications ... to the determine the frequency of the plate* * S. ... molecules (molecular recognition) -interaction between adsorbed molecules induces surface stress change  bending
    리포트 | 38페이지 | 1,000원 | 등록일 2010.10.13
  • [교통공학 ITS]Intelligent Transportation System (ITS)
    plate PAGEREF _Toc109490529 \h 12 HYPERLINK \l "_Toc109490530" 4.1.5 Piezoelectric PAGEREF _Toc109490530 ... Toc109490528" 4.1.3 Weigh-in-Motion (WIN) PAGEREF _Toc109490528 \h 10 HYPERLINK \l "_Toc109490529" 4.1.4 Bending ... Road tube sensors are usually low cost and simple to maintain.
    리포트 | 38페이지 | 1,500원 | 등록일 2006.02.19
  • 기초적으로 신경계에 관련된 뇌와 척수의 해부생리의 총정리
    추간판은 수핵(nucleus pulposus), 섬유륜(annulus fibrosus), 연골단판(vertebral end plate)으로 구성되어 있다. ... 운동구역, 감각구역, 청각구역, 시각구역, 후각구역, 미각구역, 연합구역(3/4), 기억-영역별 기능운동영역 motor area:골격근의 수의운동을 지배하는 추체로 중추감각영역 sensory ... flexion)과 신전(extension)- 청소년기에 가동성이 크며 노년기에 줄어든다.굴곡: 경추-60°, 흉요추-45° 신전: 경추-50°, 흉요추- 30°측방굴곡( lateral bending
    리포트 | 9페이지 | 1,000원 | 등록일 2012.09.16
  • 분리정제 증류 결과 레포트
    Type2.Capacity : 3.500W5) PLATE DISTILLATION COLUMN1.Pyrex glass : Ø 50mm x 100mm2.Stripping section ... : 4ea3.Rectifying section : 4ea4.Vacuum이중Column6) TEMPERATURE SENSOR1.Measuring Point : 12point2.Thermocouple ... 실험장치1) 장치의 구조2) 장치 사양1) REBOILER RESERVOIR1.Capacity : 3 Liter/max2.Material : SUS #304 3.0t3.Heater : Bend
    리포트 | 18페이지 | 1,500원 | 등록일 2009.12.21
  • DSC, DTA, TMA, TGA의 원리와 열팽창계수
    측정시그널은 변위측정 자기센서가 사용되어 유리전이 전후의 팽창과정과 같은 부분에서 매우 우수한 분해능을 제공하는 장점이 있다. ... spectrometry, Stress relaxation spectrometry, Expansion penetration and bending test 등 여러 가지를 측정하는데 ... 위치를 유지하는 곳에는 적외선 센서가 사용된다.TGA에 장착되어 있는 Furnace에 따라 수직형, 수평형으로 나누기도 한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.12.04
  • [기계공학실험]CAM시스템을 활용한 금형 및 제품가공
    기어 및 샤프트 조립과정에서 주축은 단강품으로 제작된다.③ 너셀(nacelle)너셀은 풍력터빈의 하우징(housing)과 베드 플레이트(bed plate), 메인 프레임, 방향제어 ... 이러한 방향제어 시스템은 풍력터빈의 너셀 후방에 장착된 풍향센서 신호에 의해 자동으로 제어되며, 너셀의 방향을 제자리에 고정하기 위한 목적으로 사용되기도 한다.④ 요잉 및 피칭 기어모든 ... 블레이드(BLADE)의 제조 방법① 블레이드일반적으로 블레이드는 외부형상에 따라 straight type 와 pre-bend type으로 구별된다. straight type은 익형을
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.07
  • 다단식 연속증류 예비보고서
    3리터 정도의 SUS 용기로 제작하고 외부를 전기 Heater (Bend형)로 감싸 열이 고루 퍼지도록 하였으며 보일러에 있는 시료용액의 량을 알 수 있도록 보일러 전면에 액면계가 ... , Reflux&Feed Heating system, Plate Distillation Column, Standard Accessories① 재비기(Reboiler)- 증류용기는 용량 ... 메탄올 20L, 증류수 10L, 초시계 1개, 공학용 계산기, 20cm 자 Reboiler Reservoir, Feed Tank, Product Tank, Temperature Sensor
    리포트 | 7페이지 | 1,000원 | 등록일 2010.10.13
  • 다단식 연속 증류 파이널보고서
    그러면 식(6)의 조작 선은 Yn+1 = X Temperature Sensor, Reflux&Feed Heating system, Plate Distillation Column, Standard ... Accessories① 재비기(Reboiler)- 증류용기는 용량 3리터 정도의 SUS 용기로 제작하고 외부를 전기 Heater (Bend형)로 감싸 열이 고루 퍼지도록 하였으며
    리포트 | 11페이지 | 1,000원 | 등록일 2010.10.13
  • [분리정제]Distillation [McCabe-Thiele method]
    : 4ea3.Rectifying section : 4ea4.Vacuum이중Column6) TEMPERATURE SENSOR1.Measuring Point : 12point2.Thermocouple ... 장치 사양1) REBOILER RESERVOIR1.Capacity : 3 Liter/max2.Material : SUS #304 3.0t3.Heater : Bend type2) FEED ... Bottom product : 1ea2.Top product : 1ea3.Capacity : 12 Liter/max4) REFLUX & FEEDHEATING SYSTEM1.Heater : Bend
    리포트 | 32페이지 | 1,000원 | 등록일 2007.01.17
  • 반도체패키지,어셈블리, 제조공정,패키지구조,발전과정,반도체 패키지 형태별 소개,시장동향,기술동향,전망
    Small Outline packages-small package body, 리드가 두 면에 나와 표면실장되는 형태 -동일 군으로 SOJ(Small Outline J-Bend Package ... Convergence가 기술 Trends로 자리 잡으면서, 메모리,로직,아날로그 칩을 통합가능한 새로운 패키징 방식이 요구됨. 4.시스템 패키지 기술은 한 개 이상의 실리콘 디바이스와 수동소자,센서 ... 뒷받침해 줄 수 있는 패키징 능력향상을 요구하게 되었음.Evolution process of package제 1세대 표면실장형으로 전환 Lead frame계열 패키지 초기 삽입형(plated-through
    리포트 | 22페이지 | 10,000원 | 등록일 2007.09.11 | 수정일 2015.10.13
  • [계측 및 제어] 신호조절기
    이 때에 전자형 증폭기는 가장 일반적으로 사용되는 것으로 그 원리는 다음과 같다.그림에서 캐도우드가 가열되면 전자가 방출되어 정으로 전하 된 플레이트(Plate)에 가인되고 따라서 ... 일부에 사용되어 지고 있다.② 반도체 Gauge실리콘등의 반도체의 단결정을 저항소자에 사용한 것으로서 Gauge율이 일반용 게이지의 수십배이고, 미세한strain의 검출이나 고감도 Sensor의 ... 스트레인 게이지를 부착한 형태로 원통형보다 정밀도가 높은 장점이 있고 방향도 인장, 압축형 모두 사용이 가능한 장점이 있으나, 대용량 및 소용량의 제작이 어렵다는 단점이 있다.③ 휨형(Bending
    리포트 | 24페이지 | 1,000원 | 등록일 2004.05.06
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대