• 통큰쿠폰이벤트-통합
  • 통합검색(31,286)
  • 리포트(28,897)
  • 시험자료(1,463)
  • ppt테마(415)
  • 방송통신대(167)
  • 자기소개서(125)
  • 논문(111)
  • 서식(94)
  • 이력서(10)
  • 노하우(3)
  • 표지/속지(1)

"ch4n2s" 검색결과 1-20 / 31,286건

  • [환경부] 수출이동서류
    Other(specify)H CODE AND UN CLASS(Block 14)UN ClassH codeCharacteristics134.14.24.35.15.26.16.289999H1H3H4.1H4.2H4.3H5.1H5.2H6.1H6.2H8H10H11H12H13ExplosiveFlammable ... Waste generator(s)(4;5;6) :Registration N° :Name :Address :Contact person :Tel :ⅷ) H-code(5) :(ⅸ) UN ... (b) 2st Carrier :Registration N° :Name :Address :Tel :Fax :Email :8.
    서식 | 2페이지 | 무료 | 등록일 2023.03.14
  • 실험실 셋업에 필요한 시약정리용 서식
    H962725g1Heparin(sodium salt)Sigma H3149"50,000unit"1HEPES (cell culture)C8H18N2O4SSigma H4034100g1HEPES ... W228613100g1DextroseBD #215530500g1"EDTA, disodium salt"C10H14N2O8Na2 · 2H2OGENERAY BIOTECH100g1500g2AMRESCO ... citrate tribasic dihydrateNa3C6H5O7·2H2OSigma C85321kg2Sodium deoxycholateC24 H39NaO4Sigma 3097025g1Sodium
    서식 | 3,000원 | 등록일 2021.06.09
  • MSDS SHEET
    Temperature(°C)N/ASpecific Gravity (H2O = 1)Approximately 1Vapor Pressure (mmHg)N/AVapor Density (AIR ... & Emergency contact: Website:2. ... Physical and Chemical PropertiesCharacteristicBoiling point (°C)N/AFreezing/Melting point (°C)N/ADecomposition
    서식 | 4페이지 | 2,000원 | 등록일 2021.01.22
  • 회사보고서-폐기기안
    GR.B71/2-13UNCx60T/C B I S.2H1 3/8-8UN0.10.45214465'4-23임재홍#N/AGN134260#N/A폐기검토폐기검토재공자산폐기검토537225김성호10020730SB000661전산나사볼트 ... 볼트 S10TM24x3.0Px175H/H N I GR.2H1 3/4-8UN0.10.858117100KCP 0.120265541임재홍WN144430#N/A#N/A폐기검토폐기조립창고자산폐기540420추은진안윤3560 ... -12임재홍WN204719#N/A#N/A폐기검토폐기검토조립창고자산폐기검토2558김성호10002405SB000555T.S 볼트 S10TM22x2.5Px65H/H N CL.10S PHOM30x3.5P0.70.336"1,354"455'4
    서식 | 1,000원 | 등록일 2021.02.20
  • soap 간호기록
    130/80-70-15-36.4 spo2:97%N/S500mL+dopa1@ fouid clamping하고 경과관찰하자함.EKG check, Chest AP처방남.금일 디고신1T QD ... 가래P: 이불과 환의복을 걷고 cooling 시행함담담의에게 보고하여 N/S 500ML IV QD, 파세타 1V + N/S 100cc mixO2 5L/min inhalation via ... 보고하여 N/S 500mL IV QD, 5% 과당 500mL IV QD파세타 1V + N/S 100cc 처방 시행함E: chilling sense 호전 되었고 열 38.3 "C로
    서식 | 6페이지 | 500원 | 등록일 2022.11.29 | 수정일 2023.01.18
  • SOW(작업지시서) 템플릿
    _Toc208713730 \h 4 2 Final Acceptance PAGEREF _Toc208713747 \h 7 HYPERLINK \l "_Toc208713748" 8.0 Schedule ... PAGEREF _Toc208713729 \h 4 HYPERLINK \l "_Toc208713730" 2.0 Staffing Roles and Responsibilities PAGEREF ... \l "_Toc208713727" 1.2 Background PAGEREF _Toc208713727 \h 4 HYPERLINK \l "_Toc208713728" 1.3 Objectives
    서식 | 9페이지 | 500원 | 등록일 2021.09.01
  • 2022년 KLA Field Application Engineer (FAE) 직무 발표 ppt
    energy of the rotating mass” H sys = i =1 n H i ∗ S n , i S n , sys Inertia Response Primary Reserve ... results are reflected in Korean power system rules KEPCO's Standard Frequency Profile Fig.2 Korea’s ... the most severe Frequency Profile Fig.3 Korea Power System Inertia Change Fig.4 ESS Simulink Model Fig
    자기소개서 | 20페이지 | 9,900원 | 등록일 2022.11.11 | 수정일 2022.11.16
  • 유기화학실험_2-methyl-2-butanol과 HCl의 반응에 의해 생성된 2-chloro-2-metyhlbutane 단순증류 결과보고서
    실험 결과C5H12O + HCl -> C5H11Cl + H2O1) 몰 수 계산ㄱ. 2-methyl-2-butanol 25ml : 0.228mol ㄴ. ... 한계반응물 : 2-methyl-2-butanolㄹ. 2-chloro-2-methylbutane 의 이론적 무게 : 24.303g2) 수득률(%)ㄱ. ... 실험 고찰이번 실험은 2-methyl-2-butanol과 HCl의 반응에 의해 생성된 2-chloro-2-metyhlbutane을 단순증 류를 통해 얻어내는 것이었다.
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.13 | 수정일 2024.01.30
  • (이것만 보세요!)2022 계명대학교 동산병원 4개년 의학용어 기출(합격 인증 O)
    - A.C : Before meals (Ante cibum) : 식전- P.C : After meal (Post cibum ) : 식후- H.S : At beditime (Hora ... somn) : 취침 전- BID : Two times a day (Bis in die) : 하루 2번- TID : Three times a day (Ter in die) : 하루 3번 ... Stat : At once (station) : 즉시- o.d : daily (Omne die) : 매일- o.n : Every night (Omne nose) : 매일 밤
    자기소개서 | 18페이지 | 25,000원 | 등록일 2022.07.11 | 수정일 2022.07.23
  • 국내화학물질등재리스트 및 규제물질해당여부확인 서식
    -1-136"#N/A#N/A#N/A23CAS번호영문명국문명고유번호함량정보비고기존화학물질유해화학물질중점관리물질"암, 돌연변이성 물질"사고대비물질68951-72-4"1,1'-Iminobis ... /A#N/A#N/A#N/AToluene108-88-310%100KE-33936"97-1-298"#N/A#N/A28Sodium hydroxide1310-73-210%100KE-31487"97 ... sulfonic acid, potassium salt"KE-25595""81-84-5"1,8-Naphthalic anhydride; 1H,3H-Naphtho[1,8-cd]pyran-
    서식 | 1,500원 | 등록일 2021.08.14
  • 학생영화 단편영화 스크립북, 일촬표 등 모음
    밧줄, 서류봉투#2골방액자, 양동이, 수도꼭지, 상자2개, 빵, 빨간리본, 라디오, 베개, 변기, 책상, 옷가지들, 기출문제집#3시험장a4용지, 스피커, cctv, 볼펜#4모니터링 ... Takes Only* Special Instruetion to Lab :SCRIPT PAPER감독 / 제작NO.년 월 일 요일촬영 시작: 끝:장소:S#C#날씨/광선M D E NFilmLensfilterExp.VideoS ... →CUTO.LW.OTakeOK/NGTIMER#NoteSlate12345678910스탭 연락처파트성명H.P.연출부연출김지민010-1234-5678조연출스크립터제작부제작제작부기술부기술감독촬영
    서식 | 5페이지 | 1,500원 | 등록일 2024.04.27
  • 신소재공학 대학원 (카이스트) 면접 기출 및 예상문제
    #20191. Si Au 혼합 시 그래프 상 온도 (eutectic)2. 플라즈마, 사용 기체 설명3. 유전6. 순수 H2O에 전압 가할 시, 내부 에너지 변화7. ... 확산(구동력, fick's law, steady state) 설명+1. n-type p-type 반도체 설명, 도핑 법2. diffusion 공식, 문제3. phase diagram ... 자기소개 (in three minutes in English)4. coordinationnumber5.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.05.03 | 수정일 2023.05.10
  • 고려대학교 일반대학원 화학과 연구계획서
    가역적인 C-C 결합 절단 연구, 지속가능한 유기촉매반응에 의한 알킬 SuFEx 클릭 허브 및 4치환된 탄소중심 합성 연구, 2-메톡시티오페놀(S1)의 진동 구조 및 사전해리 역학 ... Si 직렬 장치 첨단 에너지 소재 연구, 고체 상태의 탄화된 유기 단결정에서 추출한 2차원 폴리아닐린(C3N) 연구, 고가 망간(IV)-하이드록소 종에 의한 지방족 및 방향족 C-H ... -테트라(1H-테트라졸-5-일) 피라진 및 1,1-디아미노-2,2-디니트로에틸렌 혼합 시스템에 대한 이론적 연구, 코발트 디케티미드가 파악하기 어려운 코발트 아릴 니트레노이드 복합체로
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.09.29
  • [방송통신대학교] C프로그래밍 출석 수업 과제 만점
    표준함수인 printf(), scanf()가 표준 입출력 헤더 파일인 stdio.h에 선언되어 있다. stdio.h를 포함시킴으로써 위의 입출력 함수를 사용할 입력 받는다.- scanf ... 표준함수인 printf(), scanf()가 표준 입출력 헤더 파일인 stdio.h에 선언되어 있다. stdio.h를 포함시킴으로써 위의 입출력 함수를 사용할 수 있게 된다. ... 표준함수인 printf(), scanf()가 표준 입출력 헤더 파일인 stdio.h에 선언되어 있다. stdio.h를 포함시킴으로써 위의 입출력 함수를 사용할 수 있게 된다.
    방송통신대 | 12페이지 | 5,000원 | 등록일 2024.08.04
  • [대입][수시면접][면접후기][조선해양공학과] 서울대학교 조선해양공학과 면접 시 질문과 답변 내용을 정리해보았습니다. 조선해양공학과 관련 학과로 면접을 보실 때 한번 읽고 가시면 큰 도움이 될 것입니다.
    개미가 xy평면 위를 움직일 때, y좌표가 h인 지점으로부터 y=0인 집까지 남은 거리를 S(h)라 하자. s(t)=(S? ... S={1, -1, i, -i}이다.(1) n명의 사람이 S의 원소를 임의로 하나 택하여 원탁에 앉을 때 양옆 두 사람의 합이 0이 되지 않게 앉는 경우의 수를 구하시오.- 문제2. ... [학생] 네 1번은 처음엔 여사건을 이용해서 풀어보려 했는데 도저히 아닌 것 같아 1, 2, 3을 대입해서 생각해봤습니다. 1을 대입했을 때는 당연히 4개가 나오고요. 2를 대입했을
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.09.22
  • [2023] 방송통신대학교(방통대) 시뮬레이션 과목 중간과제물 만점 리포트
    2023학년도 2학기 중간과제물(온라인 제출용)교과목명:시뮬레이션학번:-성명:-연락처:-※ A4용지 편집 사용Contents TOC \o "1-3" \h \z \u Hyperlink ... = %3.0f \n",tlimit);fprintf(output,"THE ARRIVAL PROBABILITY = %4.2f \n",prarr);fprintf(output,"THE POISSON ... PAGEREF _Toc147595494 \h 6조건아래와 같은 조건에서 과제를 수행했습니다.학번: -seed: -사용한 언어: C프로그램아래와 같이 소스코드를 수정하였습니다.//
    방송통신대 | 6페이지 | 3,500원 | 등록일 2024.01.04
  • 부산대학교 일반대학원 약학과 연구계획서
    1.수학, 연구계획저는 부산대학교 대학원 약학과에 진학을 한 다음에 H3N2 유행성 A/H1N1 바이러스의 기질 유전자를 가진 개 인플루엔자 바이러스 연구, 전립선암 영상화를 위한 ... 타깃하는 인간 2G4 항체에 대한 항-약물 항체의 제작 및 특성 분석 연구, 제2형 콜라겐 매개 류마티스 관절염에서 Pin1 유도의 새로운 역할 연구 등을 하고 싶습니다. ... 의한 B형 간염 바이러스 유래 인간 간세포암종의 억제 연구, Cinchona 유래 촉매를 사용한 o-바이페닐-2-옥사졸린-4-카르복실산 tert-부틸 에스테르의 상전이 촉매 알킬화를
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.09.05
  • (30점/30점) 한국방송통신대학교 컴퓨터과학과 2022년 1학기 C프로그래밍
    #include //stdio.h를 인클루드하면, printf와 scanf 함수를 쓸 수 있다. ... #include //printf함수를 쓰기 위해 stdio.h 헤더파일을 인클루드한다. printf함수를 쓰는 소스파일에 이 exam2-3.h 헤더파일을 인클루드하면, 동일 소스파일 ... 할당되었던 메모리공간도 해제된다.실행화면예제 2-3소스코드(exam2-3.h)//.h확장자로 저장되는 헤더파일을 사용하면 파일을 분할하여 프로그램을 만들 수 있다.
    방송통신대 | 10페이지 | 3,000원 | 등록일 2023.03.31 | 수정일 2023.04.02
  • 2022년 2학기 방송통신대 인공지능 중간과제물)맹목적 탐색과 경험적 탐색의 개념 탐색 알고리즘에서 고려할 수 있는 경로의 비용 및 평가함수 A스타 알고리즘을 이용하여 다음 미로의 입구에서 출발하여 출구치)로 나오는 이동 거리가 가장
    (3,3)=g(3,3)+ hat{h} (3,3)`=`6`+`2`=`8#g(4,2)`=`g(3,2)`+`C((3,2),(4,2))`=5`+`1`=`6#hat{f} (4,2)=g(4,2 ... ),(3,2))`=4`+`1`=`5#hat{f} (3,2)=g(3,2)+ hat{h} (3,2)`=`5`+`3`=`8#g(4,1)`=`g(3,1)`+`C((3,1),(4,1))`=4 ... )의 평가함수를 계산한다.g(2,1)`=`g(2,0)`+`C((2,0),(2,1))`=2`+`1`=`3#hat{f} (2,1)=g(2,1)+ hat{h} (2,1)`=`3`+`5`=
    방송통신대 | 10페이지 | 10,000원 | 등록일 2022.09.14 | 수정일 2023.06.07
  • 방송통신대학교 프라임칼리지 AI전공 인공지능 중간과제(만점취득)
    1333pixel, 세로 371pixel그림4미로 각 정점에 따른 (a) g(n)과 (b) h(n) 및 (c) f(n)= g(n)+h(n)A* 알고리즘이 시작 정점에서 목표 정점에 ... 따라서 정점(2,2)의 총 비용은 f(n)=g(n)+h(n)=4+8.4=12.4 이다. ... 그림4는 미로 각 정점에 따른 (a) g(n)과 (b) h(n) 및 (c) f(n)을 보여준다.그림입니다.원본 그림의 이름: CLP000074e40005.bmp원본 그림의 크기: 가로
    방송통신대 | 4페이지 | 3,000원 | 등록일 2024.03.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대