• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(686)
  • 리포트(643)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 1-20 / 686건

  • Perspectives on compound verb constructions in Korean/Chinese code-switching
    한국중국문화학회 Minji Choi
    논문 | 22페이지 | 5,100원 | 등록일 2017.02.01
  • 서강대학교 23년도 마이크로프로세서응용실험 8주차 Lab08 결과레포트 (A+자료)
    표 8.6에 AFIO register map이 초기값과 함께 나타나 있다.실험과정STEP 2:그림 2-1: program 8.1 code그림 2-1의 코드스위치를 통해 LED를 ... 이 방향을 반대로 변경해보자.그림 11-2: 왼쪽으로 이동하는 code그림 11-2 코드로 program 8.2를 수정한 후, download시켰더니, 막대의 이동 방향이 반대가 되었다 ... on, off할 수 있도록 인터럽트를 설정한 C code이다. line8-9는 각각 GPIOC_CRH, GPIOA_CRL를 특정 값으로 초기화하고 있다.
    리포트 | 31페이지 | 2,000원 | 등록일 2024.03.24
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    실험결과(flow chart, code 첨부 및 설명 등)#코드 설명*Assign mif s ==(1 bit binary number 1) : m = yelse : m = x#flow ... FPGA that are connected to theSW switches, and the output ports of your Verilog code will use the FPGA ... in your project.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • Compare and contrast essay - English Mediated Instruction and Code-switching
    CLL also can collaborate with code-switching. ... comparison, in code-switching classrooms, research found that it resulted in emotional stability. ... , 1981; Swain, 1995).In contrast, code-switching fits more into the explicit instruction or Community
    리포트 | 6페이지 | 2,000원 | 등록일 2020.02.03
  • 디지털논리회로실험(Verilog HDL) - Characters and Displays
    Test the functionality of the circuit by setting the proper character codes on the switchesSW _{14-0} ... ’. the Character codes are set according to Table 1 by using the switches SW{}_{14-0}, and a specific ... which is selected for codes 100-111).The seven segments in the display are identified by the indices
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 연세대학교 기초디지털실험 4주차 결과레포트 (sequential logic)
    In that case, D-FF delivers input value directly to output. 10-bit shift register was created with 10 ... First implement shift register, binary counter, BCD counter with verilog code and confirm the result ... Verilog Code Review with waveformIn D-FF in pic 1, module becomes activate when clock is positive edge
    리포트 | 16페이지 | 5,000원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • 연세대학교 기초디지털실험 3주차 결과레포트 (combinational logic)
    realize the LED combined in 1-to-4 DEMUX.After drawing up the module code to implement each, first check ... PYNQ-Z2 GPIO is basically a board consisting of two switches, four buttons, two tri-color LEDs and four ... In this experiment, the output in the 3-to-8 decoder's information corresponds to various colors that
    리포트 | 10페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2022.12.15
  • 영국 런던 대학교 합격 자소서 UCL, King's College, UCAS 등록 자소서
    I have spent five years in a unique environment in which almost everyone is bilingual and co-switches ... parent-teacher interviews at an English-speaking school in Vietnam. ... I have also witnessed code mixing from Korean friends who are not entirely fluent at another language
    자기소개서 | 2페이지 | 10,000원 | 등록일 2023.04.21
  • 전공영어 레포트
    빈칸에 가장 적절한 단어를 보기에서 고르시오.flip-flop, register, resistor, digital, analog, numeric code, alphanumeric code ... , BCD code, binary cell, Boolean algebra, NAND, OR, exclusive-NOR, help-subtractor, half-adder, full-adder1 ... an amplifier or switching device. ( transistor )증폭이나 스위치동작을 하는 작은 고체상태의 반도체 장치.3) An electronic circuit
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 결과 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    수 있었다.2) 실습[2] 실습1의 로직에서 coding변화codetest bench 실습1과 동일simulation*실습[1]과 pin 동일A=0001 B=0001A=0010 B ... 값이 b에 바로 저장이 되어 a, b값 모두 같은 값들이 한번에 도출됨을 확인할 수 있다.3) 실습[3] 실습1의 로직에서 coding변화codetest bench 실습1과 동일simulation ... 전자전기컴퓨터공학부 설계 및 실험2Post Lab-06Sequential Logic 1(Flip-Flop, Register, SIPO, counter)실 험 날 짜학 번이 름목차1.
    리포트 | 25페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 소프트웨어공학4공통)교재에서 설명되지 않은 린(Lean) 소프트웨어 개발 방법에 관해 관련 알기 쉽게 정리하라0k
    코드를 작성하는 사람이 진행자(dirver)가 되고 다른 한 사람이 관찰자(observer, navigator)가 되어 코드 검토(code review)를 하며 프로그래밍을 작성한다 ... -작업 전환(Task Switching) : 작업 진행 도중 다른 작업으로의 전환은 시간을 소모 시키므로 전환 시간 낭비 최소화 할 수 있다. ... -미완성 작업(Partial Done Work) : 배포되지 않은 코드, 코드로 옮기지 않은 문서, 테스트하지 않은 코드다.
    방송통신대 | 6페이지 | 7,000원 | 등록일 2022.04.01
  • VHDL코드를 이용한 4비트 감가산기 구현
    VHDL code--4bit subadder--library ieee;use ieee.std_logic_1164.all;package mydata issubtype adder_range ... 설계 목적- 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL code를 작성하여 설계한다. quartus 8.1를 이용하여 Digcom v3.2에 ... 배경디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기로 하였다.설계
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 한양대학교 기계공학부 재료역학전산설계 레포트
    The code is as follows.% Information loads (node, dof (1:x,2:y) ,force)problem_number = 1;switch problem_numbercase ... There are four homogeneous b.c and three non-homogeneous b.c in this problem. ... The code for determining boundary conditions and force component conditions is as follows.% Coding for
    리포트 | 7페이지 | 10,000원 | 등록일 2023.11.12
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Change the inputs and outputs of your code to use switchesSW _{3-0} on the DE2-series board to represent ... Include this file in your project and assign the pins on the FPGA to connect to the switches and 7-segment ... You should use only simple Verilog assign statements in your code and specify each logic function as
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • UC 버클리 기계공학과 SOP 지원 서류
    software which can predict faster than conventional CFD codes. ... If three-dimensional nanostructures are fabricated through laser, they can be used in various fields ... Based on postdoc knowledge and experiences gained by participating in larger-scale projects, I aspire
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.08.02
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 추가 실험 보고서
    First, we have to make a simple code which controls LED with button and switch on the board. ... But doesn't use hburst in this code so it will always be 0output reg [3:0] hprot,//show the address's ... But doesn't use hburst in this code so it will always be 0.hprot(hprot_start_finish),//show the address's
    리포트 | 24페이지 | 3,000원 | 등록일 2020.08.18
  • 라즈베리파이-파이썬-DC 모터 및 RPM 측정
    (DCMOT_EN, 1)SWITCH_0 = 26GPIO.setup(SWITCH_0, GPIO.IN)DCMOT_DIR_SW_FWD = 0DCMOT_DIR_SW_BWD = 1spi_dev.ck_spiWr ... ) * (out_max - out_min)) / (in_max - in_min)) + out_minreturn ourange(30)speed = spi_adc.ck_adcRd(spi_adc.ADC_CH_VR1 ... 마지막으로 SPI로 동작하는 모든 장치들을 초기화시킨다.def ck_map(x, in_min, in_max, out_min, out_max)out_val = (((x - in_min
    리포트 | 2페이지 | 3,000원 | 등록일 2021.11.04 | 수정일 2022.04.18
  • ERP개론 SAP - FI CASE STUDY (과제점수 만점,A+받음)
    Switch company code (F7)Document data 필드에서 F4를 사용해서 오늘날짜를 입력하고 Currency 또한 USD를 입력한다. ... P&L-Statement와 관계되었기 때문에 마무리에 재무상태에 영향을 다시 볼 것이다.1. ... code가 기본값이 아니라면 아래 절차를 따른다.More ?
    리포트 | 25페이지 | 3,000원 | 등록일 2020.08.30
  • 건국대학교 전기전자기초설계및소프트웨어실습 10주차 레포트 A+
    장점을 결합하는 방법을 제공하여 코드를 컴파일하면 모든 인라인 함수가 in-place가 되어 확장된다. ... 때-HIGH: :인터럽트 핀이 high일 때마다○ Timer와 delay()의 차이 : dealy()만으로는 정확한 타이머를 만들기 어렵다. 1초 마다 count-up되는 7 Segment ... 코드를 작성하기 위해 delay(1000) 마다 count를 늘려줘도, 실제로는 delay를 제외한 나머지 부분을 진행하는 시간이 추가되어 부정확해진다.
    리포트 | 9페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    역을 입력 ss의 gray code가 출력된다. ... 위의 R-S F/F을 A, 아래를 B라고 하면 In 0가 1이면 A part를 사용하고 In 1이 1이면 B part를 사용하게 된다. ... 메모리 동작을 하기 위한 순서대로 한 후에 Address입력 Binary DCBA를 입력하고 그 Address에 입력시킬 DI를 입력 시키는데 Address의 gray code값의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:33 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기