• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(609)
  • 리포트(506)
  • 자기소개서(79)
  • 논문(15)
  • 시험자료(7)
  • 이력서(1)
  • ppt테마(1)

"dc모터 제어기 설계" 검색결과 1-20 / 609건

  • DC모터의 위치 제어기 설계
    서론1) 설계주제DC 모터의 위치 제어기 설계2) 설계 목적servo motor의 gain과 작동 시간 등의 효율을 높이기 위해 제어기를 추가 설계하고 모터의 생산성과 내구성을 비교하여 ... 생산성과 내구성 : 1) 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다. 2) 모터를 가능한 오래 사용할 수 있는 제어기설계해야 한다. ... 경제적 제한조건 : 모터에 인가되는 증폭기의 용량을 가능한 적게 하여 비용을 낮게 한다. (K값을 가능한 적게) b.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.09
  • DC 모터의 위치 제어기 설계
    설계목적1) 설계주제DC 모터의 위치 제어기 설계2) Servo motor 전달함수서보모터는 서보기구의 조작부로서 최종 제어요소에서 입력신호에 응답해 조작부의 기계적 부하를 구동하는 ... (K값을 가능한 적게)(2) 생산성과 내구성 : 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다.모터를 가능한 오래 사용할 수 있는 제어기설계해야 한다. ... 설계목적1) 설계주제2) Servo motor 전달함수2.
    리포트 | 13페이지 | 2,000원 | 등록일 2021.06.07
  • DC모터의 위치 제어기 설계(제어시스템공학설계)
    제어기는 제어기보다 가격적인 측면 에서 비싸다고 판단하였기에 제어기가 제일 적합하다고 생각한다. ... 처음 최대한 증폭기의 용량을 적게 그리고 비용을 적게 하려고  제어기로만 사 용했으나 settling time인 1/30sec보다 작게하는 조건에 맞지 않았다.  제어기는 오버슈트가 ... 결과적으로 설계 제한 요소(damping ratio를 0.4~0.7, 오버슈트 4.6~25.4%, settling time  1/30 미만, phase margin 
    리포트 | 7페이지 | 2,000원 | 등록일 2023.05.07 | 수정일 2023.10.23
  • 제어시스템 설계 : DC모터의 위치 제어기 설계
    설계 A목적 :DC모터의 위치 제어기 설계Root locus method 제한조건1) 증폭기의 용량 즉 K값을 가능한 적게 하여 비용을 줄인다.2) 가능한 빠른 시간내에 목표치에 도달하도록 ... (over shoot적게)Matlab app을 이용하여 PD제어기를 통한 PID제어기 튜닝.Response time은 빠를수록 좋으나 빠르면 빨라질수록 k값이 증가하여 제어기의 ... 일때에,Settling time=0.323초기조건 Ts
    리포트 | 5페이지 | 1,000원 | 등록일 2019.12.02 | 수정일 2019.12.05
  • Matlab을 이용한 DC모터 P제어기 설계
    Matlab 을 이용한 DC 모터 P 제어기 설 계 DC 모터 설계 전달함수를 통한 DC 모터 각속도와 출력각도 제어 P 제어기를 이용한 %OS, 정상상태 오차 ( ess ), 상승시간 ... ( Ts ) 제어 DC 모터 모델링 DC 모터는 전기 에너지를 회전 기계에너지로 변환시키는데 , 모터의 회전자에 발생된 대부분의 토크가 외부 부하를 구동하는 데 쓰인다 . ... 미분방정식 전달함수 방정식 - 전압입력에 대한 출력각도 ( 위치 ) 제어 전달함수 - 전압입력에 대한 속도 제어 전달함수 속도제어 Gc (s) 시뮬레이션 정상상태 오차 e ss =
    리포트 | 10페이지 | 1,000원 | 등록일 2017.07.19
  • pid제어기를 이용한 dc motor 설계
    PID제어기를 이용한 DC Motor 속도제어? ... 앞서 PID제어기를 이용한 DC 모터 속도제어에서는 D의 값을 0으로 하여 약간의 오버슈트가 발생하였으나 이번에는 D제어까지 사용하여 오버슈트를 없앴다.? ... 주어진 모델의 재원 및 회로구성3번 모델 : 5HP , Va=500V , 1750rpm , Vf=3003번 모델 재원 값을 DC motor function block에 넣어준다.saturation에는
    리포트 | 4페이지 | 1,000원 | 등록일 2013.09.04
  • Matlab을 이용한 DC모터 제어기 설계
    Matlab을 이용한 DC모터 제어기 설계 및 성능평가설계사양● Overshoot 16%이내● 0.04초 이내의 정정시간● 정상상태 오차 없음● 제어 입력은 전압, 제어 대상은 DC ... 모터의 위치● PID를 설계하여 위치 제어를 할 것제어 파라미터들SymbolDefinitionValueJ관성모멘트3.2284e-6[kg·m2/s2]B댐핑 계수3.5077e-6[N· ... ');단순히 P제어기로는 정정기간이 너무 길다.
    리포트 | 11페이지 | 3,000원 | 등록일 2009.11.30
  • [전기.전자.제어세스템.설계] DC-MOTOR Compensator, DC-MOTOR의 위치 제어기 설계
    ① ζ값 설정 - 위의 조건 중 2-2)을 만족하기 위해서 P.O가 제일 작은 값인 ζ가 0.8로 결정.② ζωn설정, ZERO의 위치변경 1. ζωn의 값이 160인 경우zero위치 : -20인경우numc=[1 20];denc=[1 280];sysc=tf(numc,d..
    리포트 | 10페이지 | 3,000원 | 등록일 2011.07.17
  • matlab를 이용한 DC모터 제어기 설계PID
    DC Motor의 위치 제어기 설계성명스텝응답의 정상상태 오차 : 10% 이하 정착시간 : 0.5초 이하 최대초과 : 10% 이하제어목표시정수와 이득 구하기주파수 영역 설계이득 K과 ... 설계PI제어기루쓰-허위쯔PID 설계PI제어와 단위 되먹임 비교파:PI제어 녹:단위되먹임 하:K=5 되먹임PID 설계감쇠비가 원래 값 0.1911로부터 0.1911Kd만큼 증가 미분제어 ... (s)G(s)[z,p,k]=tf2zp(n,d) 극점 0 -3.6495 -14.3305주파수 영역 설계C(s)의 스텝응답빨 : 개루프 하 : 폐루프PID 설계P제어기PID 설계PID
    리포트 | 26페이지 | 1,500원 | 등록일 2008.06.08
  • [공학기술]DC 모터를 위한 디지털 제어기 설계
    공학사학위 논문서기 2007학년도DC 모터를 위한 디지털 제어기 설계(하드웨어부)서경대학교 이공대학전 자 공 학 과안 광 철공학사학위 논문DC 모터를 위한 디지털 제어기 설계(하드웨어부 ... 곱으로 표시된다.Faraday의 법칙과 플레밍의 법칙은 DC Motor의 기본응용원리이다. ... 비롯 CNC(Computer Numerical Control) 머신 등 고속, 고정밀, 고정도의 제어를 요구하는 장비들은 전자 제어 기술과 기계 설계기술의 첨단기술에 속한다.
    리포트 | 41페이지 | 2,000원 | 등록일 2007.05.07
  • 아주대학교 자동제어실험 8번 실험 / 위치제어시스템 / 예비보고서
    Specification엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... Placement모터를 구동시키기 위해서는 전력 증폭기가 필요하다. ... 이 실험에 사용되는 전력 증폭기는 OP amp용 직류 전원과 선형 전력 증폭기로 구성되어 어 (P-Controller) 시스템 설계비례 제어 시스템의 블록도비례 제어를 적용한 시스템의
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 결과보고서
    Specification엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... Kp값을 높이면 오차가 줄지만 오버슈트가 발생할 가능성이 높아지며 정상상태 오차를 제거하기 위해 비례-적분제어기를 이용한다.비례 적분 제어 (PI-Controller) 시스템 설계비례 ... 이는 앞서 언급했던 오차의 이유 말고도 애초에 모터와 전달결과를 확인해보니, 설계조건인 Overshoot=10%, Settling Time=3s 모두 만족하는 것을 확인할 수 있었다
    리포트 | 9페이지 | 1,000원 | 등록일 2022.03.06
  • 현대자동차 PT면접(1차면접) 최종합격본
    필터 적용 차선과 차체 상대위치 계산 서보모터 조향 , DC 모터 속도제어ㅇㅇㅇㅇ 캡스톤디자인 대회 AI 무인소화장치 불길 / 연기 / 유해가스 센서 Zigbee Serial 통신 ... IFX007T DC 모터와 서보모터 TSL1401CL 라인스캔 카메라 적외선 센서 HW SW Hitec Tricore Infineon BIFACES Time Scheduler 카메라 ... 객체지향 프로그래밍 전자회로 전자회로 설계실습 반도체공학 운영체제 자동제어 통신공학 회로설계 전기회로 설계실습 아날로그및디지털회로설계실습 (B0) 회로및시스템 마이크로프로세서응용실습
    ppt테마 | 13페이지 | 19,000원 | 등록일 2023.06.02 | 수정일 2023.08.24
  • 아주대학교 자동제어실험 9번 실험 / 펜듈럼시스템 / 예비보고서
    PID 제어기를 완성한 이후 설계한 Pole과 Arm의 제어기를 이용하여 전체 시스템의 PID제어기를 구성한다.7. ... Specification엔코더와 50:1의 기어박스가 부착된 기어드 DC 모터이다. ... Placement모터를 구동시키기 위해서는 전력 증폭기가 필요하다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 인하대학교 기계공학실험 A -DC모터 제어 결과 보고서입니다.
    발생-복잡하고 값이 비쌈-제어계 전체가 불안정해질 가능성예시-선풍기-커피자판기-신호등-아날로그형 전자계산기-자동차 속도 제어기-자동난방장치 ... 설계가 단순-제어계가 안정-제품의 단가를 낮춤-외부조건의 변화에 대처 가능-제어계의 특성을 향상-목표값에 정확히 도달단점-외부조건(외란)의 변화에 대처 불가능-목표값과 오차가 많이 ... 개 루프 제어 시스템은 피드백 경로 없이 보통 플랜트와 제어기를 직렬로 연결한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2020.12.25 | 수정일 2020.12.30
  • 현대자동차 에너지직무 합격자소서
    DC모터를 수학적으로 모델링하고 Matlab과 Simulink를 활용하여 폐루프 시스템을 만들고 외란에 강건하게 제어기와 보상기를 설계했습니다. ... 이를 통해 DC모터 PID 제어 설계 프로젝트도 구현했습니다. ... )를 기술해 주십시오. ※ 석사과정자는 연구경력 및 세부 전공에 대해 기술해 주십시오.(1000자)자동제어(4.0/4.5): DC모터를 모델링하고 특성 방정식을 구한 후, Matlab과
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.02.12
  • 현대자동차 자동차설계직 합격자소서
    이를 통해 PID제어를 이용한 제어 설계 방법을 학습했습니다.제어시스템 설계(4.5/4.5): 실제 DC모터의 데이터시트를 참고하여 파리미터를 설정한 후 전기적 방정식과 기계적 방정식을 ... 기술을 이론적으로 이해 했습니다.자동제어(4.0/4.5): DC모터를 모델링하고 특성 방정식을 구한 후, Matlab과 simulink로 폐루프 시스템을 설계하였습니다. ... 제어기 설계와 1자유도 제어를 구현했습니다.위치-속도-전류 순으로 제어기설계했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.03.06
  • 경북대학교 기초전기전자실험 모터제어&로보틱스 실험보고서 [기계공학부]
    발생할 시 저속에서 원활한 회전을 하기 힘들다.(2) BLDC모터브러시리스 모터(Brushless Direct Current motor, BLDC motor)란 브러시가 없는 DC모터로 ... 티치 팬던트가 제어하도록 설계된 로봇 유형에 따라 키보드 유형이 달라질 수 있다. ... 인가 전류(전압)을 제어하면 토크, 회전수를 제어할 수 있으므로 DC모터제어하기 쉽다.단점- 구조상 브러시(Brush)와 정류자(commutator)에 의한 기계식 접점이 있기
    리포트 | 15페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.14
  • 기아 생산직 자기소개서
    지원 분야와 관련한 전문성 확보를 위해 노력한 경험에 대하여 기술해 주십시오.Matlab과 Simulink를 활용하여 DC모터제어기설계하고 구현한 경험이 있습니다. ... 프로그램에 60도 입력 시 DC모터가 정확히 60도 회전하여 실제로도 잘 제어된 결과를 냈습니다. ... 전류 제어기와 속도 제어기는 정확한 값을 출력해야 하기에 PI제어기를 사용하여 오차를 없게 설계했습니다.이 과정에서 전류 제어기에서 모터의 정격 전압을 넘어가지 않게 하기 위해 리미터를
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.01.16
  • 충북대 졸업 작품 포트폴리오
    목표DC모터와 서보모터제어와 더불어 적외선 센서를 사용하여 자신이 원하는 음료의 위치에 모터가 멈추게 하는 것이 목표이다. ... 이때 각 병의 마개로는 우리가 주로 쓰는 정수기의 코크를 병의 입구에 맞게 제작하여 연결한다.사용자가 스위치로 명령을 내리면 DC 모터가 작동하고, 이어서 서보 모터가 작동하여 칵테일을 ... 프레임에서 컵의 위치 이동을 위해서 DC모터를 사용한 컨베이어 벨트를 제작하여 컵의 위치를 제어한다.서보 모터를 이용한 음료 비율 조절컨베이어 벨트를 통해 원하는 위치에 도달한 컵의
    리포트 | 19페이지 | 3,000원 | 등록일 2022.06.01
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대