• 통큰쿠폰이벤트-통합
  • 통합검색(3,868)
  • 리포트(3,639)
  • 시험자료(152)
  • 방송통신대(17)
  • ppt테마(17)
  • 서식(15)
  • 논문(14)
  • 자기소개서(12)
  • 이력서(1)
  • 노하우(1)

"excess3" 검색결과 1-20 / 3,868건

  • excess3 to bcd
    리포트 | 1페이지 | 2,000원 | 등록일 2019.03.05 | 수정일 2019.03.07
  • BCD to Excess-3 코드 가/감산기 설계 보고서
    조합 응용회로 설계BCD to Excess-3 코드 가/감산기 설계 보고서1. 작품설명2. 전체 블록 다이어그램3. 각 블록의 기능 및 동작 설명4. 전체 회로도5. ... 따라서 7483-c에선 A4 A3 A2 A1 과 B4 B3 B2 B1의 감산이 이루어진다. ... 의해 S4 S3 S2 S1의 값으로 출력된다.( XOR 게이트는 하나의 입력이 0이면 출력은 나머지 입력과 같기 때문) 따라서 7483-c의 A4 A3 A2 A1과 B4 B3 B2
    리포트 | 12페이지 | 1,500원 | 등록일 2015.12.10
  • BCD to Excess-3 Code Conveter
    excess3 excess3 excess3 excess3 '0');SIGNAL excess3 : std_logic_vector(3 downto 0);BEGINuut: bcd2excess3 ... isbeginprocess(bcd)begincase (bcd) iswhen "0000" => excess3 excess3 excess3 excess3 excess3 excess3 ... 0);excess3 : out STD_LOGIC_VECTOR (3 downto 0));end bcd2excess3;architecture Behavioral of bcd2excess3
    리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • BCD to EXCESS-3 CODE CONVERTER
    (BCD)begincase(BCD)iswhen "0000" => excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 ... excess3 excess3 '0');--OutputsSIGNAL EXCESS3 : std_logic_vector(3 downto 0);BEGINuut: BCDTOEXCESS3 ... 첫번째 과제에서는 BCD코드를 입력하면 설정된 EXCESS-3 코드가 출력되도록 하며 두번째 과제에서는 클럭값을 넣고 밀리형식을 따라 EXCESS-3코드의 값을 받아온다.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.03.26
  • Excess-3 to BCD code converter
    즉 3~12까지의 decimal값을 가진다.Excess-3 to BCD code converterExcess-3 code를 입력 받아 BCD로 출력하는 회로이다.Input: Excess ... Excess-3 to BCD code converterSPECIFICATIONBCD code0~9까지의 decimal을 4비트의 binary로 표현한 코드이다.Excess-3 codeBCD ... -3 code (A:MSB, D:LSB)Output: BCD code (W:MSB, Z:LSB)※ Decimal 공란에 해당하는 Excess-3 입력에 대해서는 don’t care
    리포트 | 20페이지 | 2,000원 | 등록일 2010.11.28
  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    3씩을 더한 값으로 이뤄진 코드이다. 3~12까지의 10진수 값을 가진다.▪ Excess 3 to BCD 는 Excess 3 에서 3을 빼는 것이다.▪ Excess 3 는 4개의 ... 제목 : Design of a Excess-3-to-BCD code converter (combinational circuit)개요본 실습에서는 Excess-3 code를 BCD로 ... 입력에 스위치를 통하여 Excess-3 code 를 인가하고 출력에 LED 를 연결하여 BCD code 를 확인하고 더 나아가 Excess-3, BCD code를 7-segment
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 환원-확산법에 의한 Sm-Fe 합금분말 제조시 Sm2O3 첨가량의 영향 (The Effect of Excess Samarium Oxide on the Preparation of Sm-Fe Alloy Powder by Reduction-diffusion Method)
    한국분말야금학회 곽훈, 이정구, 최철진
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • Design of Excess-3-to-BCD code converter
    이뤄진 코드이다. 3~12까지의 10진수 값을 가진다- Excess 3 to BCD는 Excess 3에서 3을 빼는 것이다.- Excess 3 는 4개의 비트로 구성되는데 제일 최하위 ... 4 bit의 binary로 0~9까지의 10진수를 표현한 코드이다. 4개의 bit가 10진수 1자리를 나타 낸다- Excess-3 code 는 각각의 BCD코드에 3씩을 더한 값으로 ... 디지털 공학 Design Project설계 보고서Design of Excess-3-to-BCD code converter설계 이론1. specification- BCD code 는
    리포트 | 12페이지 | 1,000원 | 등록일 2012.06.04
  • BCD to EXCESS-3 변환코드(VHDL)
    ”=> excess3 1000(excess3)when “0110”=> excess3 1001(excess3)when “0111”=> excess3 1010(excess3)when ... excess3 0101(excess3)when “0011”=> excess3 0110(excess3)when “0100”=> excess3 0111(excess3)when “0101 ... 변환하기위한 case조건문when “0000”=> excess3 0011(excess3)when “0001”=> excess3 0100(excess3)when “0010”=>
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    1. specificationBCD-TO-EXCESS3 코드변환기는 2진수로 표현된 10진수에 3을 더하는 것이다. ... 예를 들면 10진수 0 즉 BCD 0000에 대한 EXCESS3 코드는 10진수 표현시 0+3=3이고 BCD 표현시 0000+0011=0011을 나타낸다.각 BCD 숫자(INPUT) ... ;ARCHITECTURE BEHAVIOL OF bcd_to_excess3 ISBEGINprocess(A, B, C, D)variable E : std_logic_vector(3 downto
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • vhdl를 이용한 bcd to excess-3 코드 변환기 설계(순차회로)
    Specification2진수로 표현된 10진수인 BCD에 3을 더하는 Bcd_to_Excess3 코드변환기의 설계를 실시한다. ... 시퀀스가 종료되면 그 즉시 새로운 4비트 시퀸스를 받을 수 있도록 하며, 입력으로 클럭과, 리셋, ‘0’을 입력하는 신호와, ‘1’을 입력하는 신호를 사용하고 출력으로 4비트의 excess3 ... in order ofCommon PrefixesdecimalBCD inputEX-3 outputBCD inputEX-3 outputdigit1st 2 3 41st 2 3 41st
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    (b) 3 초과 코드의 가산법1. 2진 가산 규칙을 이용하여 3 초과 수를 더한다.2. 계산 결과를 carry가 생기지 않으면 그 결과에서 3(10진수 3)을 뺀다.3. ... 계산 결과에 carry가 생기는 경우는 3을 더하고, carry에 의해 새로 생긴 열에도3(10진수) = 0011(2진수)을 더한다.예를 들어, 35 + 24에서 각 10진수를 3초과 ... 예를 들어 0 숫자 전체에 대한 엑세스-3 코드를 표시하였다.
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • bcd to excess 3 code converter vhdl code
    ) is --⑦when "0000" => excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 excess3 ... excess3 ... ③end bcd2excess3; -- ④architecture Behavioral of bcd2excess3 is --⑤beginprocess(bcd) --⑥begincase(bcd
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.27
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다. ... 파일내용 상세보기 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 ... Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    BCD to Excess-3 Code Converter(1) BCD to Excess-3 Code 변환기란?10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... entity bcd2excess3 is4: port(bcd :in std_logic_vector(3 downto 0);5: excess3 : out std_logic_vector( ... 3 downto0) );6: end bcd2excess3;7: architecture behavioral of bcd2excess3 is8: begin9: process (bcd)10
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • Post lab - BCD to Excess3 code converter !! (A+리포트 보장)
    BCD-to Excess-3 bit serial code converter7. ... , LCD 전환 code에 의해서 순서대로 83675695가 나온다고 했다. 1조의 코드가 정확하게 BCD 입력값을 Excess-3 로 변환된다는 것을 알 수 있었다.3. ... LED 와 Switch 의 각각 지정 번호가 달라 강의자료와는 다르게 Spartan 3E 모델에 따라 설정해 주어야 했다.각각의 BCD 입력시에 출력되는 Excess-3 에 대해 확인해
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.29
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    is-- bcd2excess3라는 이름을 갖는 e ... BCD-to Excess-3 bit serial code converter7. ... 예를 들어, BCD 코드 가 1001 이라면 Excess-3 코드는 1100 으로 표현된다.반면에, BCD 코드는 자기 보완적인 코드가 아닌 것을 명심하자.10진수2진수BCD 코드Excess
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것으로 BCD to Excess-3 Code Converter가 제대로 작동하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral ... " 3) Excess-3 Serial Code Converter Dataflow Source Results HYPERLINK \l "discussion" 3. ... 이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    A가 선택되면 Excess-3 code로, B가 선택되면 Gray code로 변환된 값을 출력하도록 한다. 2) BCD, Excess-3 code, Gray code란? ... 위에서 각각의 코드에 대해 설명해 놓은 부분에서 알 수 있듯이, Excess-3 code는 BCD code로부터 3을 더한 코드이다. ... - Excess-3 code(3초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    => 4bit converter(bcd to excess 3) module=> 8bit로 확장=> verilog text=> simulation=> ucf(PIN 설정] ... MODULE=> VERILOG CODE=>SIMULATION(alway문 사이에서 300초 단위로 반복됨을 알 수 있다.)=> UCF(PIN 설정)● 아래 표를 참조하여 BCD to Excess ... 디코더- 3개의 입력선과 8개의 출력선을 갖는 디코더① 3x8 디코더 로직 설계② 3x8 디코더 Test Fixture 파일 생성③ 3x8 디코더 시뮬레이션 결과 확인2) MUX(
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대