• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(176)
  • 리포트(172)
  • 자기소개서(3)
  • 시험자료(1)

"fnd제어" 검색결과 1-20 / 176건

  • [중앙대 마이크로프로세서 응용회로 설계실습] 6주차 결과 보고서 main함수인자받기,FND제어(6주차)
    교재를 참고하여 fnd.c 파일의 static unsigned short fnd_hexadecimal[16] 을 완성하시오.static unsigned short fnd_hexadecimal
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.24
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (예비) FND 제어
    FND 제어의 이론적 배경과 구조 이해하기 전구나 LED에서 나오는 빛을 잘 이용하면 특정 문자를 표현할 수 있는 것과 같이 FND(Flexible Numeric Display) 혹은 ... 2. fnd_mm.c 작성하기 5.2절/6.5.1절 참고/*fnd_mm.c: FND Counter Program using mmap(), Hybus, ... 0x11000000 #define FND_CS1 0x11100000
    리포트 | 9페이지 | 1,000원 | 등록일 2018.07.05
  • 중앙대 마이크로프로세서 응용회로설계실습 4학년 1학기(성적인증) (결과) FND 제어
    이번 실험은 7-Segment라고도 불리는 FND 제어에 대해 알아보는 것이다. ... FND는 총 7개의 LED 영역을 이용하여 10진수 숫자, 혹은 영문자 등을 표현할 수 있는 디스플레이 장치이며 전광판이나 진행 상태, 시간을 숫자로 표시할 때 유용하게 활용된다.FND
    리포트 | 6페이지 | 1,000원 | 등록일 2018.07.05
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    FND(Flexible Numeric Display) 제어- 7 segments -1. ... 실험 내용1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단한 기호 점등2) 제어 데이터에 따른 숫자 점등표시할 숫자Segment 제어 데이터(MSB ↔ LSB)ABCDEFG ... *******0002110110131111001401100115101101161011111711100108111111191111011('1'-ON,'0'-OFF)3) segment제어
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • mmap()함수를 이용한 FND 제어용 Device Driver의 설계
    FND 제어용 Device Driver의 설계*DATASHEET1. ... FND4. Problem
    리포트 | 5페이지 | 2,000원 | 등록일 2010.06.18
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건code(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 및 변수를 가지는 모듈설계 ... 7-Segment를 제어하는데 사용하는 I/O는 8개이다.제어되는 7-Segment의 숫자가 늘어날 수록 사용하는 I/O 수도 많이 늘어나기 때문에, 사용하는 7-Segment의 ... 배경 이론(1) 7-Segment Decoder (FND)1) Static 7-Segment 컨트롤러 설계① Static 7-Segment7-Segment(FND)는 숫자나 문자를
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건codeCombo box 실험결과(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드code(3) 실습30000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single FND ... 점을 포함하기 위해선 8bit로 맨 뒤에 점을 의미하는 부분을 추가하여 1을 입력하면 된다.실험4) FND arrayFND array이는 single FND가 4개가 붙어있는 형태이다
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    , 4-bit bcd 입력을 받아서 single FND제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈, FND array를 제어, 8-bit 2’s complement ... Dynamic 7-Segment (FND array)- Static 7-Segment의 구조를 제어하고, 4개의 7-Segment 중 어느 곳에 나타나게 할 것인지를 결정하는 방법으로 ... 확인하는 모습(4) [실습 4] FND array를 제어하기 위하여 교안의 선언부 및 변수를 가지는 모듈을 디자인하시오.Source codeTestbenchPIN testbench
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털시스템설계 7-segments 실습보고서
    이 코드로 7-Segment를 제어한다.2) FND decorder source모듈의 이름을 bin2seg로 설정하고, bin_data를 4비트 2진수인 입력(인풋) 데이터로 선언, ... seg_data를 8비트 출력(아웃풋) 데이터로 선언한다.입력된 데이터를 7-Segment에 맞게 10진수로 변환하는 코드이다.(3) 7-Segment 제어 모듈Segment 제어 ... FND_COM의 값이 4비트 이진수 0100일 때는, FND_DATA에 seg1값을 저장하여 두 번째에 있는 숫자를 출력하고, FND_COM의 값이 4비트 이진수 0010일 때는,
    리포트 | 11페이지 | 2,000원 | 등록일 2022.10.28
  • [마이크로컨트롤러]7 Segment LED 제어하기
    7 Segment LED 제어하기마이크로컨트롤러 1 7 Segment LED 제어하기 2 FND : Flexible Numeric Display마이크로컨트롤러 1 7 Segment ... 2 nd FND 3 rd FND 4 th FND{nameOfApplication=Show} ... PORTD=digit[ cnt ]; _ delay_ms (500); cnt ++; if ( cnt ==10) cnt =0; } }마이크로컨트롤러 1 7 Segment LED Array 제어하기
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    Dynamic 7-Segment (FND array)- Static 7-Segment의 구조를 제어하기 위해서 1개의 7-Segment를 제어하는데 사용하는 I/O는 8개이다.- 제어되는 ... [실습 3] 0000~1001, 즉 0~9까지 값을 가지는 4-bit bcd 입력을 받아서 single FND제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈을 ... [실습 4] FND array를 제어하기 위하여 교안의 선언부 및 변수를 가지는 모듈을 디자인하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과e.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    .- 입력: input [3:0] bcd는 bus SW로 입력- 출력: output [7:0] out은 single FND에 출력[실습 4] FND array를 제어하기 위한 다음 ... [실습 3] 0000~1001, 즉 0~9의 값을 가지는 4-bit bcd 입력을 받아 single FND제어하는 8-bit 신호(점 포함) out을 출력하는 모듈을 디자인 하시오 ... 실험목적verilog HDL 언어를 사용해 7-segment, piezo 등 주변 장치를 제어하는 실험을 한다.
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Single FND는 decoder의 방식, FND array는 clk으로 출력을 계속 변경해주며 decoder를 활용하는 방식을 활용해보았습니다.이러한 구현을 하며 장치 제어를 하는 ... 결과Pin 연결- 실험 결과Lab 1과 동일하여 생략하였다.(4) Results of Lab 4FND array 를 제어하기 위하여 다음과 같은 선언부 및 변수를 가지는 모듈을 디자인 ... Counter를 저장해 주는 것은 간단하게 reg형 변수를 활용하고, decoder와 비슷한 방식으로 single FND제어해서 원하는 출력을 얻을 수 있었다.2) 실습 2이 과제는
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 건국대학교 마이크로프로세서응용 Lab6 A+
    타이머인터럽트는 TIMSK, TCCR0, TCNT0등의 레지스터를 사용하며, 이를 통해 사용타이머, 분주비, 타이머의 시작값 등을 제어할 수 있다. ... Displayldi FND_En, 0x08;sts PORTG, FND_En;ldi FND_Data, 0x3f;// Delay FND_TIME msecDELAYMS FND_TIME/ ... / Second FND Displayldi FND_En, 0x04;sts PORTG, FND_En;ldi FND_Data, 0x06;out PORTC, FND_Datast X+, temp
    리포트 | 19페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 건국대학교 전기전자기초설계및소프트웨어실습 12주차 레포트 A+
    이와 같이 묶어서 사용하면, 7-SEG를 구동할 때 필요한 Pin 개수를 줄일 수 있다. 1개의 Segment에 하나의 숫자를 제어하기 위해서 8개의 신호가 필요하다. ... //FND1은 9로 초기화if (FND2 == -1) {//FND2이 -1이면FND3--; //FND3이 1감소한다_ 백의자리가 (index)가 -1이되면 천의 자리가 1 감소FND2 ... 감소if (FND0 == -1) { //FND0이 -1이면FND1--; //FND1이 1감소한다_ 일의자리(index)가 -1이되면 십의 자리가 1 감소FND0 = 9; }//FND0은
    리포트 | 11페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 마이크로프로세서 응용회로설계실습 결과보고서9
    : 파일 제어를 위한 함수들, 주로 파일을 열 때 사용되는 open() 함수가 정의되어 있다. ... > // exit()#include // strlen()#include "ieb.h"#include "led.h"#include "dot.h"#include "fnd.h ... : 문자열 처리를 위한 함수들을 포함한다. strlen() 함수가 이에 해당된다.사용자 정의 헤더 파일"ieb.h", "led.h", "dot.h", "fnd.h
    리포트 | 12페이지 | 1,000원 | 등록일 2024.07.09
  • 충북대 디지털시스템설계 결과보고서5
    LED 제어 모듈을 구동시키기 위한 Clock은 24MHz이므로 clock_12MHz를 PLL24X2라는 IP에 입력시켜 clock_24MHz로 변환시킨다. ... 그리고 서브 모듈인 LED 제어 모듈을 불러온다.LED Controller module codeinput, output은 Top module의 것과 동일하다. ... 실험목표(1) LED Controller를 verilog로 설계하고 FPGA 보드로 결과를 확인한다.(2) FND Timer를 verilog로 설계하고 FPGA 보드로 결과를 확인한다
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • [중앙대 마이크로프로세서 응용회로 설계실습] 6주차 결과 보고서
    결과 보고서(설계실습 6. main함수 인자 받기, FND 제어)마이크로 프로세서 응용회로 설계실습홍xx 교수님 수요일학번: xxxxxxxx홍길동1. argument.c 를 argument ... fnd_up() 함수를 참조하여 20~29 번호를 눌렀을 때 99 부터 0 까지 감소하는 fnd_down 소스코드 만들기다음과 같이 99부터 00까지 감소하는 fnd_down( ) ... 다음 그림을 참조하여 fnd.c 파일의 static unsigned short fnd_hexadecimal[16] 을 완성하시오.static unsigned short fnd_hexadecimal
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.05
  • Mux&Decoder2차레포트 디지털회로설계
    Decoder VHDL을 이용하여 구동하고 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기논리게이트를 사용한 흐름제어예를 들어 AND게이트의 한쪽 입력을 ‘0’으로 고정하고 ... 다른 한쪽 입력을조절하여 입력을 전달할 것인지 차단할 것인지 제어가 가능하다.MUX(Multiplexer)멀티플렉서는 MUX, MPX라고 줄여 부르기도 하며여러 개의 입력 중 하나를 ... DE2작동 사진은 역시 없다.제 3장 요약 및 결론이번에 처음으로 스위치 제어를 통해 무언가 결과가 나타나는 5가지 실습을 진행하였고 보드에 적용 시켜보았다.실습을하다 schematic
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 임베디드 시스템 중간고사 시험
    1번 ,FND2번에 값 11들어오게 코드 작성.11.로터리 스위치와 딥 스위치에 대해 간략히 설명하시오.위 11개를 외워간다면 중간고사는 다 맞으실 겁니다.위 질문들 GPT로 자기 ... 임베디드 시스템은 주변 환경과 상호작용하고 실시간으로 작동하여 제어 및 모니터링을 수행합니다. ... 값 LED 표시5.홀수,짝수번째 번갈아 LED켜기 /끄기6.홀수 번째 LED 켜기/끄기7.짝수 번째 LED 켜기/끄기8.8개의 LED 켜기/끄기9.1개의 LED 켜기 /끄기10.FND
    시험자료 | 7페이지 | 22,000원 | 등록일 2024.02.07 | 수정일 2024.04.21
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:46 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대