• 통큰쿠폰이벤트-통합
  • 통합검색(52)
  • 리포트(52)

"fnd array" 검색결과 1-20 / 52건

  • [마이크로컨트롤러]7 Segment LED 제어하기
    2 nd FND 3 rd FND 4 th FND{nameOfApplication=Show} ... 10]; _ delay_ms (5); //3 rd FND PORTG=0b00000111; PORTD=digit[sec%10]; _ delay_ms (5); //4 th FND } } ... 단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] 7 segment LED Array Display 7 #include avr / io.h #include
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • [마이크로컨트롤러]외부인터럽트
    0b00000011; EIMSK=0b00000001; SREG=0x80; while(1) // 인터럽트 대기 { PORTC=digit[ cnt ]; } }7 Segment LED Array ... DDRG=0xff; DDRD=0b11111100; EIMSK= 0b00000011; EICRA=0b00001111; } void display(void) //7 Segment LED Array ... ; PORTC=digit[t_1s] | 0x80; _ delay_ms (2); //PG1=0, 2 nd FND PORTG=0b00001011; PORTC=digit[t_100ms];
    리포트 | 13페이지 | 1,500원 | 등록일 2022.10.10
  • 시립대 전전설2 A+ 8주차 예비레포트
    FND에 ‘3’을 표현하는 예제이다.3) Dynamic FND Array보통은 FND array에서 여러 개 FND에 서로 다른 숫자가 나타나도록 세팅을 하고 빠른 클럭을 이용하여 ... 예상결과1) 실습 12) 실습 2fnd_decoder.vtb_fnd_decoder.vSimulation3) 실습 3fnd_array.vtb_fnd_array.vSimulation4) ... 시뮬레이션(실습 1 제외)까지 수행하시오.실습 1실습 2fnd_decoder.vtb_fnd_decoder.vSimulation실습 3fnd_array.vtb_fnd_array.vSimulation실습
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    변환하여 FND array에 -128~127로 표현하는 모듈 등의 설계가 가능하다. ... FND가 하나만 있을 때는 input 8개만 넣어서 조절해주면 되지만, FND arrayFND가 4개가 있는 것이므로 이론상으로는 총 32개의 input을 조절해 주어야 한다. ... 하지만 실제로는 좀 더 간편하게, FND ar 반복해서 일어나기 때문에 장비 동작 확인 과정에서는 FND array에 그냥 -137이 계속 띄워져 있는 것으로 보이게 된다.- [실습
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    이처럼 여러 Digit을 표현하는 배열을 FND array라 한다. ... number를 decimal로 변환해 fnd array에 출력하는 회로를 만들었다. ... array에 -128 ~ 127로 표현하는 모듈을 디자인하시오- 예시[실습 6] 4-bit up-down counter의 출력값을 FND Array에 표시하시오.- 입력: 1Hz의
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    (위의 경우는 FND array 에 “-137” 출력)Module instance로 활용하기 위해서 FND_decoder라는 module을 만들어주었다. ... Piezo, single FND, FND array 라는 장치를 다루어 보았습니다.새로운 장치를 접하였지만, 이전의 실습에서 연습한 기본 문법을 활용하면 장치의 조작이 가능하다는 것을 ... Single FND는 decoder의 방식, FND array는 clk으로 출력을 계속 변경해주며 decoder를 활용하는 방식을 활용해보았습니다.이러한 구현을 하며 장치 제어를 하는
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    점을 포함하기 위해선 8bit로 맨 뒤에 점을 의미하는 부분을 추가하여 1을 입력하면 된다.실험4) FND arrayFND array이는 single FND가 4개가 붙어있는 형태이다 ... 출력 값을 FND Array에 표시 동작 검사설계 조건codepin설정Up modeDown modeCombo box 실험결과2. ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건codeCombo box 실험결과(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    [실습 6] 4-bit up-down counter의 출력 값을 FND Array에 표시하시오.Source codeTestbenchPin testbench 시뮬레이션 결과4. ... Dynamic 7-Segment (FND array)- Static 7-Segment의 구조를 제어하기 위해서 1개의 7-Segment를 제어하는데 사용하는 I/O는 8개이다.- 제어되는 ... [실습 4] FND array를 제어하기 위하여 교안의 선언부 및 변수를 가지는 모듈을 디자인하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과e.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    조건codesimulation(5) 실습58-bit 2’s complement signed binary 입력을 받아서 이를 decimal 로 변환하여 FND array에 -128 ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건code(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 및 변수를 가지는 모듈설계 ... Array에 표시 동작 검사설계 조건code5.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    [사진 5] FNDFND Array에 결과를 출력하는 4비트 카운터 소스코드[사진 6] FNDFND Array에 결과를 출력하는 4비트 카운터 입출력 맵핑[사진 7] FND와 ... FND Array에 결과를 출력하는 4비트 카운터 테스트 벤치[사진 5]의 소스코드는 결과를 FNDFND Array(7-세그먼트)에 출력하는 4비트 카운터를 always문과 if ... In-Lab 과제1) 출력 값을 FNDFND Array에 표시하는 4비트 카운터의 소스코드를 작성하시오.
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    포트연결 :1)포트E 의 PE0 ~ PE7 은 8핀케이블로 ARRAY FND의 A ~H 까지 연결.2)포트G의 PG0 는 ARRAY FND의 C0, PG1 -> C1, PG2 -> ... Array-FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여 일정 카운트 기능을 수행한다. 타이머 카운터 0의 일반 모드 동작을 사용한다. ... 소스 설명 :1)AVR 입출력에 대한 헤더 파일과 타이머 인터럽트 를 사용하기 위한 헤더delay 함수사용을 위한 헤더파일을 선언한다.2)Array FND에 데이타를 출력하기 위한
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 시립대 전전설2 Velilog 결과리포트 7주차
    처음으로 FND라는 것을 활용 해 보았는데 이번 실험을 통해 FNDFND Array의 사용법을 익히게 되었고 Final Project를 설계할 때 어떤 방식으로 설계해야 하는지 ... Array에 표시된 모습Reset을 누른 모습Dynamic의 원리가 common단자를 scanning 하는 것이므로 Scanning하는 변수가 나타난 것을 볼 수 있다. ... ISE 프로그램HBE-Combo Ⅱ-DLD실험 과제Dynamic 7 Segment(1) 로직 설계 및 컴파일 및 코드 분석(2) 핀 설정(3) 시뮬레이션(4) 결과값결과0123이 FND
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    PAL과의 차이점을 포함한 특이 구조를 살펴보면 메모리와 특별한 논리함수를 구현하기 위해 Embedded Array가 있고 이 내부에는 Embedded Array Block이 있다. ... HBE Combo-2 SE의 입출력 장치와 그 종류 및 특성FND(Flexible Numeric Display) : 숫자 또는 문자를 출력하기 위해 발광 다이오드 소자를 여러 개 실장하여 ... 아니라 해당하는 주파수 신호를 직접 입력해야 하며, 주파수 특성 때문에 10KHz 이상에서는 가청 주파수 대역 이상의 소리가 나와 일반적으로 듣지 못한다.Character LCD : FND보다
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 6다음은 실습 6의 코드로 로드 기능이 있는 4비트 업-다운 카운터를 FND Array에 표시하는 코드를 작성하는 것이 목표였다. ... 삭제한 코드는 위의 초록색 주석 처리하여 코드를 실습 4 실험 때 사용하여 다음과 같은 결과를 얻었다.FND array에 ?137이라는 출력값이 성공적으로 나타났다.5. ... 실습 4실습 4는 sign, hundreds, tens, ones 등의 값을 입력하여 ‘FND array’에 값을 출력하게 하는 것이었다. 8비트 7-segment의 값을 출력하기
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 마이크로프로세서 실험 마이크로컨트롤러 개요 및 GPIO 입출력 제어
    이론HBE-MUC-Multi 구동●MCU Module Zone→MCU Module Zone 장착●56mm*45mm Module Zone→LED, FND, Array FND, Text
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.05
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 4실습 4는 sign, hundreds, tens, ones 등의 값을 입력하여 ‘FND array’에 값을 출력하게 하는 것이었다. 8비트 7-segment의 값을 출력하기 ... 실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 마이크로프로세서+4주차+예비보고서2 인터럽트
    실험목적ATmega128 마이크로컨트롤러의 인터럽트 기능을 이용하여 여러 가지 동작을 구현하는 방법을 알아보며 이의 발생 원리 이해하고 제어 방법을 습득하며 또한 Array FND
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 마이크로프로세서+1주차+결과보고서-GPIO로 LED켜기, 스위치 눌러 LED 불켜기
    실험이론HBE-MUC-Multi 구동●MCU Module Zone→MCU Module Zone 장착●56mm*45mm Module Zone→LED, FND, Array FND, Text
    리포트 | 7페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 시립대 전전설2 [8주차 결과] 레포트
    그리고 또 다른 실험으로는 FND Array를 이용하여 하나의 FND의 출력이 아닌 4개의 FND가 연결되어있는 Array에 출력을 하는 실험을 하였다. ... 지난 실험 마지막 과제였던 4-bit counter의 출력 값을 FNDFND Array에 표시하시오Parallel Load Data D~A: Bus Switch 1~4Count ... ARRAY를 설정하여 숫자를 출력하는 방법에 대하여 배웠다.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 타이머와 카운터
    타이머를 이용한 디지털 시계1) 실습 개요- Array_FND 모듈에 마이크로 컨트롤러 출력 포트를 연결하고, 클럭을 이용하여 일정 카운트 기능을 수행- 타이머/카운터 0의 일반 모드
    리포트 | 7페이지 | 1,500원 | 등록일 2017.01.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대