• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,298)
  • 리포트(1,187)
  • 시험자료(57)
  • 자기소개서(15)
  • ppt테마(14)
  • 논문(10)
  • 방송통신대(8)
  • 서식(5)
  • 이력서(2)

"k-map" 검색결과 1-20 / 1,298건

  • 논리회로(진리표 작성, 부울대수, k-map, Q-M알고리즘, 로직웍스 시뮬레이션)
    *∨0-0100*∨∨00100-*∨∨0010-0∨∨-01000∨∨010-00∨∨-10000∨∨001-10*∨∨11000-*∨∨101-00*∨∨110-10*∨∨1-00-0*∨∨∨∨1- ... Q-M알고리즘000100∨000100∨0-01001-00-0001110∨001000∨00100-1--000001001∨010000∨0010-0001010∨100000∨-01000001000 ... ∨100010∨001-10110110∨110001∨11000-111111∨110010∨1100-0∨111000∨111000∨11-000∨101000∨101100∨101-00101100
    리포트 | 13페이지 | 3,000원 | 등록일 2020.03.19 | 수정일 2020.04.14
  • C언어를 이용한 3변수 카르노맵(karnaugh map, k-map) 구현 소스
    strchr(")", *charArr))return 0;if(strchr("01234567", *charArr)){karnaughVar[karnaughVarNum] = *charArr -
    리포트 | 2,000원 | 등록일 2012.05.13
  • k-map
    TABLE 2-1Truth Tables for the Three Basic Logic OperationsAND OR NOTX Y X Y X0 0 0 0 0 0 0 10 1 0 0 1 ... 1 1 01 0 0 1 0 11 1 1 1 1 1Z X Y⋅ = Z X Y + = Z X =Table 2-1 Truth Tables for the Three Basic Logical
    리포트 | 54페이지 | 1,000원 | 등록일 2001.11.21
  • verilog k-map이용,유니버셜 게이트로만 구성한 전가산기
    □전가산기 설계1.원리:덧셈을 할때 2비트와 하위에서 넘어온 캐리와 함께 3비트를 덧셈해서 sum과 Carry라는 2개의 출력을 만든다.2.진리표:입력출력XYZC(Carry)S(sum)0*************101110100011011011010111113.진리표를 ..
    리포트 | 4페이지 | 1,000원 | 등록일 2008.07.31
  • [디지털공학] k-map (카르노 맵)
    구성형태- 변수가 개수가 n일 역우 2n 개의 사각형들로 구성- 각각의 사강형들은 하나의 최소항을 나타냄1) 2 변수의 카르노 맵: 최소항이 4개이므로, 카르노 맵에 나타내기 위해서는 ... 4개의 칸이 필요2) 3 변수의 카르노 맵- 최소항의 개수아 8개이므로, 카르노 맵에 나타내기 위해서는 8개의 칸이 필요- 변수 x, y, z는 카르노 맵에 나타낼 때 열과 행 중
    리포트 | 4페이지 | 1,000원 | 등록일 2001.12.10
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다. ... 소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • 디지털공학개론 (2변수, 3변수 입력을 가진 논리식을 각각 5개씩 만든 후 카르노맵을 적용하여 간소화하시오)
    , 간단히 K-map)논리 회로 용어로, 불 대수 위의 함수를 단순화 하는 방법이다. ... 만든 후 카르노맵을 적용하여 간소화하시오.1. 2변수, 3변수 입력을 가진 논리식을 각각 5개씩 만든 후 카르노맵을 적용하여 간소화하시오.(1) 카르노 맵(영어: Karnaugh map
    리포트 | 4페이지 | 10,000원 | 등록일 2021.11.29 | 수정일 2021.12.03
  • 논리회로 과제1
    .- 부울 대수만을 이용- K-map 이용- Q-M 알고리즘 이용(1.3) CEDAR, 로직웍스 등등을 이용하여 회로도를 그려 모의실험을 수행하여 검증.1. ... K-MAP을 이용하여 가장 간단한 부울식논리지도DEFABC000(D’E’F’)001(D’E’F)011(D’EF)010(D’EF’)100(DE’F’)101(DE’F)111(DEF)110 ... (A’BC)00100111010(A’BC’)00000010100(AB’C’)00000010101(AB’C)00100110111(ABC)00100111110(ABC’)00100010K-MAP최소항
    리포트 | 6페이지 | 1,000원 | 등록일 2022.01.19
  • SK T맵(SK Techx) 경력직 이력서
    그 중 국내 운전자들이 가장 많이 사용하는 T-map은 교통정보수집률이 낮아 신뢰도가 낮은 교통관련 국책사업의 단점을 보완할 수 있는 중요한 역할을 할 것이라 생각하며, 이를 통해 ... 지금까지의 도전정신을 바탕으로 저의 지식과 차량위치데이터를 활용한 교통정보제공 경험및 다수의 지자체에서 안정적인 교통정보센터 운영경험을 통해 T-map의 안정적인 서비스운영에 최선을 ... 민관협력의 좋은 사례를 남길 것이라 생각합니다.현재 T-map은 기존의 네이게이션 기능을 넘어서 대중교통, 운전습관 컨설팅, 블랙박스 기능 등 이동을 목표로 하는 모든 사람들에게 다양한
    자기소개서 | 4페이지 | 6,000원 | 등록일 2020.10.25 | 수정일 2022.12.11
  • 기초전자회로실험_vending machine
    서론-K-map을 이용한 논리 최적화에 대한 설명: K-map(카노프 맵)을 이용하는 방식은 체계적인 2 단 논리함수 최적화 방법 중 입력 변수의 개가 4 개 이하일 때 매우 효율적인 ... 이렇게 0, 1 그리고 X 로 표시된 K-map 을 논리 최적화에 이용하는데, k-map 에서 값이1 인 인접 사각형들이 2 의 멱승으로 묶여질 때, 즉 다음 4 개중의 하나의 모양을 ... 그 이유는 최적화를 할 때 양 옆의 변수끼리 의 차이가 1이 나야 k-map을 적용하여 최적화에 용이하기 때문이다.-7-segment LED 의 구성 및 동작7-segment 는 외부
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • ((강추자료)) 창의적 사고 아이디어 개발 기법 12가지 - 창의적 기획, 창의적 교육기법
    창의적 사고 아이디어 개발 기법 - 12가지창의적 아이디어 증진을 위한 기법과 창의적 사고증진을 위한 기법으로는 브레인스토밍(brainstorming), 마인드 맵핑(mind mapping ... J Mapping Method), 체크리스트 방법(Check-List Method), 스캠퍼(SCAMPER), 형태학적 분석(morphological analysis), 스토리보드( ... 지명반론자 기법(Devil's Advocate Method), 괴상하게 생각하기(get crazy), 꿈꾸며 해결하기(the sleeping/dreaming on it), 케이제이 기법(K.
    리포트 | 11페이지 | 1,800원 | 등록일 2021.05.13 | 수정일 2021.05.16
  • 한양대 디지털 IC 개요 및 조합논리회로
    K-MAP 3. ... 실험 목적Truth Table을 통해 Karnaugh Map (K-map)을 작성해본다. ... TRUTH TABLE을 이용해 K-MAP을 그려나간다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21
  • 전북대학교 전자공학부 일반편입 24,23,22 필기시험 문제와 면접 문제 및 후기
    Full Adder의 입력 x,y,z 를 Sum와 Carry로 출력을 나타내시오.2-(a) Sum은 XOR만을 이용해 표현하시오.2-(b) Carry는 K-map을 이용하여 SOP ... a) F=xy'+x'z' 를 K-map을 이용하여 Don't care를 사용하지 않고 합의 곱 형태 (Sum of product) 형태로 나타내시오.1-(b) 기억이 나지 않습니다. ... 문제1-(b) 2계 ODE 문제, (제차방정식)2-(a) 복소수 문제였고, 복소수의 해를 모두 구하시오 (답 3개를 모두 쓰시오)2-(b) 루트8의 1/3제곱?
    자기소개서 | 3페이지 | 10,000원 | 등록일 2024.02.08
  • 해부생리학 5. 심장
    또한 수축기혈압이 140mmHg, 확장기혈압이 95mmHg라면 평균혈압(MAP)은 MAP=110mmHg이다.M. Frank-Starling의 법칙 ? ... 평균 혈압(MAP, mea다 커짐 ... 에 반응하는 흥분성(excitability) : 긴 불응기가 특징(3) 기타 : 혈액을 박출하는 수축성(contractility), 흥분을 전달하는 전도성(conductivity)K.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.07.18
  • 광운대학교 전기공학실험 실험6. 논리조합회로의 설계 예비레포트 [참고용]
    논리식을 대수조작으로 단순화시킬 수 있지만, 한계가 있고 이를 해결하기 위해 널리 사용되는 것이 Karnaugh-map(K-map)이다.3-2. ... 이를 위한 방법인 K-map을 응용하는 방법을 배우고, don’t care 조건일 때를 다룬다. ... 연산 단순화가 비용이하면 K-map을 이용한다.6. 논리식을 작성하고 적절한 주변회로를 회로도에 추가한다.3-5.
    리포트 | 12페이지 | 1,500원 | 등록일 2024.01.02
  • 유전체학개론 과제 자료입니다.
    trimmed_490124_2.unmapped.fq > trimmed_490124_unmapped.K47scaf.samunmapped reads를 K47.scafSeq에 mapping한다.samtools ... 것을 bam파일로 바꾼다.samtools view -b trimmed_490124_unmapped.K47scaf.sorted.bam scaffold1:2730-2780 > K47scaf ... reference sequence에 mapping되지 않았던 MT203 sequences read들을 SOAPdenovo라는 program으로 K옵션을 47로 assembly했을
    리포트 | 10페이지 | 2,500원 | 등록일 2021.09.20
  • 세종대 자료구조 재귀실습 코드
    - 1));//비교하던 배열에서 하나꺼내서 그수와 비교}int main(){int i, j, k, num, a, b, N;int *ar;scanf("%d", &N);ar = (int ... 1, from, to, aux);printf("%c to %c\n", from, to);hanoi(n - 1, aux, from, to);}}int main(){int i, j, k ... - 1] > max)//하나의 수와 배열내의 최댓값 비교해서 만약비교하는 하나의수가 더크면 그걸 리턴return ar[N - 1];else//아니면return(findmax(p, N
    리포트 | 11페이지 | 1,000원 | 등록일 2022.04.15
  • 라즈베리파이-파이썬-DC 모터 및 RPM 측정
    마지막으로 SPI로 동작하는 모든 장치들을 초기화시킨다.def ck_map(x, in_min, in_max, out_min, out_max)out_val = (((x - in_min ... 이 두 값의 범위가 다를 때에는 ck_map를 사용하여 가변저항의 입력 값 범위를 0~100으로 변환시켜야 한다.마지막으로 if-else 문을 활용하여 스위치가 0일 때 DC 모터가 ... 범위값 mapping 함수out_val = (((x - in_min) * (out_max - out_min)) / (in_max - in_min)) + out_minreturn out_valtry
    리포트 | 2페이지 | 3,000원 | 등록일 2021.11.04 | 수정일 2022.04.18
  • SUV 브랜드 Land Rover(랜드로버)의 Value Map(가치 산정)과 포지셔닝 전략
    11%Other78%51%HouseholdIncome< $50K73%32%$50K - $75K16%24%$75k - $100K6%15%Over $100k5%21%Median Income3163구매고객의 ... 전체 SUV 시장의 Value map1-2. Mini size SUV 시장의 Value map1-3. Compact size SUV 시장의 Value map1-4. ... Land Rover의 Value Map과포지셔닝 전략문제 1) 아래의 표에 주어진 정보에 근거하여 가격과 가치의 두 가지 측면에서 SUV시장의 Value Map을 그리시오.
    리포트 | 10페이지 | 5,000원 | 등록일 2023.08.28
  • 논리회로설계실험 4주차 MUX 설계
    이를 K map으로 그리면 다음과 같다.K map에서 A, s1, s0는 input이고 out은 4가지 경우로 나누어 K map을 그려보았다. ... 이를 K map으로 그려보자.이를 Boolean expression으로 나타내면 다음과 같다.s1 = 0, s0 = 0 일 때 Out = s1’ s0’ as1 = 0, s0 = 1 ... 위의 K map을 토대로 Boolean expression을 구할 수 있고 다음과 같았다.Out1 = s1’s0’AOut2 = s1’s0AOut3 = s1s0’AOut4 = s1s0A이렇게
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:31 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기