• 통큰쿠폰이벤트-통합
  • 통합검색(41)
  • 리포트(38)
  • 시험자료(2)
  • 논문(1)

"ls193a" 검색결과 1-20 / 41건

  • 시립대 전전설2 Velilog 예비리포트 7주차
    이 때 생기는 올림수를 Carry라 한다.< 74LS193A의 회로가 돌아가는 과정 >3. ... Mealy Machine for the Serial I/O code converter6.74LS193A counter참고 문헌전전설 교안 ... synchrounous reset(5) Mealy Machine for the Serial I/O code converter(6) 74LS193A counter참고 문헌실험 목적이번
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대 전전설2 Velilog 예비리포트 8주차
    ID NumberNamesubmit date목록실험 목적배경 이론실험 전 과제7segmentDynamic 7 SegmentPIEZO 3옥타브 ‘도’음 내기PIEZO PIANO74LS193A ... 이유는 클럭이 내려가고 올라가고 하는 것 때문이다.(2) 핀 설정(3) 시뮬레이션 결과PIEZO PIANO(1) 회로 코드, 핀 설정, 테스트 벤치(2) 핀 설정(3) 시뮬레이션74LS193A ... Combo 2 장치는 Common Cathode 방식을 따르며 High 값이 올 때 LED의 불이 들어온다.7segment Decode Data7segment 값에 따라 1~9 그리고 A~
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • 시립대_전전설2_Velilog_예비리포트_7주차
    이 때 생기는 올림수를 Carry라 한다.< 74LS193A의 회로가 돌아가는 과정 >3. ... Converter를 만드는 것이지만 이번에는 Input과 Output이 모두 Serial (직렬) 로 표현되는 식을 만드는 것이다.(4) Serial I/O code converter(5) 74LS193A ... counter< 74LS193의 Datasheet (Pin배열) >VCC : 전원을 공급하는 부분GND : 접지단자< Input >COUNTUP : 이 곳에 Clock을 넣어주게
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 쉽게 기억하고 오랜동안 기억하기 (기억력 10만배 증진법)
    (注) Inverter: 0 과 1 을 반전시키는 디지털 소자 -Noise Filtering 칵테일 효과 , 드라마 효과 뇌속의 74LS193 처 리 ( 카운터 회로 ) 뇌속의 FFT ... Q A 감사합니다 !Einstein once said; Imagination is more important than knowledge. ... 문어 )/ 펜 / 퀸 ( 여왕 )/ 로봇 / 선 ( 태양 )/ 트레인 ( 기차 )/ 엄브렐러 ( 우산 )/ 볼케이노 ( 화산 )/ 월드컵 / 실로폰 / 요트 / 주 ( 동물원 ) a
    시험자료 | 38페이지 | 5,000원 | 등록일 2020.05.29
  • Application-Design-Ⅰ-7-segment and Piezo-Control
    : 1In[0] : 1높은 도FND : 7높은 도의 소리출력→예상과 같음Data analysis (compare results, reasons of error)Inlab 1. 74LS193A ... attentionsAttentions to manipulate equipments : 장비를 끄고 설계한 후에 장비의 전원을 키고 프로그램을 확인한다.and the resultInlab 1. 74LS193A ... 그 상태로 2~9까지의 16진수를 나타내고 10진수에서 10~15를 나타내는 16진수의 A~F가 출력됨을 순서대로 확인할 수 있었다.
    리포트 | 28페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 전자전기컴퓨터설계실험2(전전설2)7주차결과
    Design the 74LS193A counters코딩(text)//74LS193A countersmodule conunter(up,dw,clear,loaddata,q,c,b,load ... Design the 74LS193A counters 모델링 – one button따라서 실험조교의 지시대로 위에 적어놓은 코딩처럼 다음과 같이 코딩하였다.
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전전컴설계실험2-10주차 예비
    counters 지난 실험 마지막 과제였던 72LS193A counter의 출력 값을 FND와 FND Array에 표시하시오.Parallel Load Data D~A: Bus Switch ... Hz]도1910레1701미1515파1430솔1274라1135시1011도9542.Materials & Methods(1)Procedure of Lab-Lab 1Design the 74LS193A
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-10주차 결과
    counters 지난 실험 마지막 과제였던 72LS193A counter의 출력 값을 FND와 FND Array에 표시하시오.Parallel Load Data D~A: Bus Switch ... counters 지난 실험 마지막 과제였던 72LS193A counter의 출력 값을 FND와 FND Array에 표시하시오.Parallel Load Data D~A: Bus Switch ... Hz]도1910레1701미1515파1430솔1274라1135시1011도9542.Materials & Methods(1)Procedure of Lab-Lab 1Design the 74LS193A
    리포트 | 21페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전자전기컴퓨터설계실험2(전전설2)8주차예비
    Design the 74LS193A counters코딩(text)// Dynamic 7-segmodule dynamic(resetn, clk, seg_com, seg_data);input ... endelsecnt_sound=cnt_sound+1;endendassign piezo=buff;endmoduleSimulationa=1e=1b=1f=1c=1g=1d=1h=15. 74LS193A ... PIANO코딩(text)// pianomodule piano(a,b,c,d,e,f,g,h,clk,resetn,piezo);input a,b,c,d,e,f,g,h;input clk,resetn
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Counters.
    여러 종류의 Counter(8-bit up counter with an asynchronous reset, 74LS193A counters) 에 대해서 알아보고 VHDL 로 구현해
    리포트 | 13페이지 | 1,500원 | 등록일 2010.11.02
  • VHDL-Pre lab - Counters
    Design the 74LS193A counters-Do a waveform simulation-download the data into the kit-make an experiment ... Design the 74LS193A counters-describe its input output signals-describe its functional behaviors-write ... Design the 74LS193A counters-describe its input output signals-describe its functional behaviors-write
    리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Counters
    Design the 74LS193A counters-Do a waveform simulation-download the data into the kit-make an experiment ... using the test input-Analyze and discuss the result6-1 > Do a waveform simulation< 실험시 사용한 74LS193A ... Design the 74LS193A counters-Do a waveform simulation-download the data into the kit-make an experiment
    리포트 | 10페이지 | 2,000원 | 등록일 2009.06.29
  • 디지털시계,digital clock 프로젝트
    Pulse UP/DOWN Counter 함수2.2.8 Stop Watch 함수2.2.9 Digtal Clock 함수2.2.10 Main 함수3 결론183.1 작동 방법183.1 작동 모습193.2 ... FND / 74LS47그림 3 FND 그림 4 74LS47거시적으로 본다면 AT89S51칩의 연산 결과를 74LS47로 보내어 FND에 숫자를 출력한다. ... 위의 그림에서 보듯이 a-f를 서로 연결하여 사용한다.
    리포트 | 20페이지 | 1,500원 | 등록일 2017.04.02
  • 전자전기컴퓨터설계실험2(전전설2)7주차예비
    Design the 74LS193A counters코딩(text)//74LS193A countersmodule conunter(up,dw,clear,loaddata,q,c,b,load
    리포트 | 17페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 실험(4) 프로젝트
    Pulse UP/DOWN Counter 함수2.2.8 Stop Watch 함수2.2.9 Digtal Clock 함수2.2.10 Main 함수3 결론183.1 작동 방법183.1 작동 모습193.2 ... FND / 74LS47그림 3 FND 그림 4 74LS47거시적으로 본다면 AT89S51칩의 연산 결과를 74LS47로 보내어 FND에 숫자를 출력한다. ... 위의 그림에서 보듯이 a-f를 서로 연결하여 사용한다.
    리포트 | 20페이지 | 3,000원 | 등록일 2013.11.23 | 수정일 2014.09.09
  • [아주대]논회실 예비 실험 2. CMOS 회로의 전기적 특성
    실험1과 비교를 해보면, Schmitt-trigger는 abnormal값이 없다.V _{out} =193.462㎷I _{OL} = 4.3065㎃R _{n} = {193.462m} over ... AC 특성에서 말하는 전달지연시간을 서로 비교해보면 TTL에서 LS형의 경우 10ns, AS의 경우 3~3.5ns의 빠른 속도를 보인다. ... 입력 A가 ‘High’ 일 때는 아래쪽 NMOS에만 채널이 형성되어 출력은 ‘Low’가 될 것이고, 입력 A가 ‘Low’ 일 때는 위쪽 PMOS에만 채널이 형성되어 출력은 ‘High
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.04
  • 전자전기컴퓨터설계실험2(전전설2)8주차결과
    endelsecnt_sound=cnt_sound+1;endendassign piezo=buff;endmoduleResultSimulationa=1e=1b=1f=1c=1g=1d=1h=12. 74LS193A ... PIANO코딩(text)// pianomodule piano(a,b,c,d,e,f,g,h,clk,resetn,piezo);input a,b,c,d,e,f,g,h;input clk,resetn ... buff;integer cnt_sound;always@(posedge clk)beginif(~resetn)beginbuff=1'b0;cnt_sound=0;endelsebeginif((a)
    리포트 | 24페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 기초회로실험 카운터, 시프트 레지스터 결과보고서
    실제 실험에서는 SN74LS93소자가 아닌 193소자를 사용하고 clock UP에 신호를 인가하여 상승할 때 QA, QB, QC, QD가 측정 된다. ... 따라서 첫 번째 NAND게이트는 출력이 ‘0’, 두 번째 NAND 게이트는 출력이 ‘1’이 되고, 이때 출력 ‘0’이 74LS164소자의 A의 INPUT 으로 들어간다. 2bit 시프트 ... 실험1)스위치1:ON / 스위치2:OFF스위치1:OFF / 스위치2:ON※ 실험결과 분석 및 고찰: 실험1)에서는 그림과 같이 74LS00게이트(NAND)를 이용하여 회로도를 구성하고
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.03 | 수정일 2013.11.09
  • [모성간호학] case study - PPROM
    서론A. ... 서론A. 연구의 필요성B. 연구대상 및 방법Ⅱ. 본론A. 문헌고찰1. PPROM의 정의2. PPROM의 원인(유발요인)3. PPROM의 진단4. ... 양막 파수가 되어 입원함- 8/4 양수 소량 흐름- 8/5 움직일 때 양수 소량씩 흐르는 것 있음- WBC 6.9- CRP 0.4- PPROM, GDM 진단받음- BST 결과 : 193
    리포트 | 12페이지 | 2,500원 | 등록일 2016.10.28 | 수정일 2024.02.02
  • 단국대 디자인과 문화의 이해 기말고사 대비 요약본
    국내에는 두산, NHN, LS, 삼성 등디자인 특징: 단순히 가구만이 아니라 혁신, 효율성 및 사회적 책임까지 디자인허먼 밀러는 포츈(Fortune)지의 ‘가장 존경받는 회사(Most ... 디자인미국 디자인특징다양한문화실용성과 비즈니스디자이너=단순 오퍼레이터디자인=상품가치를 높이기 위한 것디자이너라는 단어의 형용사화미국산업디자인의 선구자들(우표)우정청이 발행한 우표시리즈193도 ... (天童木工)니싼 빨래비누 광고 포스터(Nissan Laundry Soap)히로시 오치(Hiroshi Ohchi), 1954장식함(Ornamental box in a flowing design
    시험자료 | 17페이지 | 4,000원 | 등록일 2017.12.27 | 수정일 2017.12.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:57 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대