• 통큰쿠폰이벤트-통합
  • 통합검색(59)
  • 리포트(57)
  • 시험자료(2)

"mod n counter" 검색결과 1-20 / 59건

  • 기초전자설계및실험 예비보고서 Mod N counter
    실험 제목: Mod N counter조: 이름: 학번:실험에 관련된 이론2.1 동기/비동기 카운터비동기식 카운터(리플 카운터)- 클록펄스에 모든 플립플롭이 동기화되지 않으며 동작함- ... N CounterMod-n카운터는 0에서 n-1까지 표현할 수 있는 카운터로 n=4인 경우 16진수인 0에서 F까지 표현이 가능하다. ... 10개의 상태를 순차적으로 계수한 후 다시 0으로 돌아가는 카운터이다..실험회로 및 시뮬레이션 결과4.1)Mod-n Counter설계문제1) 0~F를 7-Segment 에 1초마다
    리포트 | 8페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 10. Mod-n counter - 복사본
    Mod-n counter1.실험 목표① Flip-Flop을 이용한 Mod-N-counter를 이해한다.② Counter의 출력을 decoder와 7-segment를 이용하여 디스플레이할 ... 수 있다.2.관련이론①Mod-N-counter : Mod-n counter는 각 flip-flop의 출력이 다음 단의 flip-flop의 clock pulse입력으로 연결되어 출력 ... PSpice모의실험(1)Mod-n counter①측정문제1Q.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.11.26
  • Counter 회로제작 (기초공학실습, KAIST)
    [리플 counter][동기형 카운터]3. ... MOD N 카운터2진 카운터가 각 단을 거칠 때마다 1/2 씩 주파수를 분주시키는 원리를 이용한 것이라면 MOD N 카운터는 임의의 수인 N을 카운트 한 후 다시 원상태로 되돌아 가는 ... MOD 3 카운터가 도시되어 있고 동작원리는 표 1에 나와 있다.countJ _{A}K _{A}Q _{A} (out)bar{Q _{A}}J _{B}K _{B}Q _{B} (out)bar
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • ring,jhonson counter 예비레포트
    회로가 리셋되면, 플립플롭의 출력 중 하나를 제외하고 나머지 모든 출력이 0으로 된다. n-flip-flop ring counter에서, MOD-n counter 가 있다. ... N-플립플롭 존슨 카운터의 경우 MOD-2n 카운터가 있다. 즉 카운터의 상태가 2n개 다르다는 의미다. ... 실험 목적-fpga를 통해 ring counter, jhonson counter 시뮬레이션3.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 디지털회로실험 동기식 카운터, 비동기식 카운터
    -4 비동기카운터를 구성한 것으로, 신호가 4분할 된 것을 나타낸다.MOD-number은 2의 n승(n=플립플롭의 개수)이므로 이번 실험에서는 4개의 J/K 플립플롭을 사용하여 16분할된 ... Asynchronous Counter)의 동작원리를 이해하고 구성한다.실험3)- 동기식 카운터(Synchronous)의 동작원리를 이해하고 Presettable Up/Down 10진 counter를 ... - 그림과 같이 회로를 결선한다.- 7490칩의 14번 핀에 클럭펄스를 입력한 후 7-segment로 결과를 관찰한다.실험 3) Presettable Up/Down 10진 counter
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.24
  • 충북대 기초회로실험 카운터 회로 예비
    카운터에서 한 계수 사이클 동안에 계수입력에 들어온 clock pulse의 수를 modulus라 하며, modulus가 n인 카운터를 mod-n 카운터라 한다.4개의 플립플롭을 직렬로 ... 스스로 원위치로 돌아오는 구조를 up counter라 하고, 반대로 1111, 1110, 1101, … , 0000에서 다시 1111의 순서를 갖는 구조를 down counter라 ... 하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • [A+보고서] 회로실험 카운터 회로 예비보고서
    mod-n의 카운터라고 한다,(1) mod-n 비동기식 카운터- 비동기식 10진 카운터의 한 예를 보여준다.- 0에서 9까지의 카운터를 반복한다.- BCD 카운터를 구성하려면 ... Up / Down counter(1) 상향 비동기식 카운터- 4비트 2진 상향 카운터 : 16진(mod-16) 카운터- 각 플립플롭은 클록펄스의 하강엣지에서 변화한다. ... 예비 과제(1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.- 클럭 펄스는 플립플롭 A의 CLK 입력에만 인가하고 플립플롭 A는 클럭 펄스의 매 하강
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.24
  • MOD-17 비동기식 카운터
    MOD는 17이므로 N은 5이다. ... MOD-17 비동기식 Counter를 설계하는 원리를 설명하고, State Diagram을 포함하여 설계하시오.MOD-17 비동기식 카운터를 설계하기 위해서는2^N GEQ MOD 의 ... 이때 10001의 임시 상태는 잠시 나타났다가 바로 00000이 되어 카운터는 MOD-17로 동작한다. MOD-17을 설계 하기위해서 앞서 말한 5개의 F/F를 설계한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.11.20
  • 16진 동기 및 비동기 카운터 설계
    카운터에서 한 계수 사이클 동안에 계수입력에 들어온 클럭 신호의 수를 modulus라 하며, modulus가 n인 카운터를 mod-n 카운터라고 합니다. 16진 비동기 카운터는 비동기식 ... 동기식 계수기는 계수의 방향에 따라 올려세기 계수기(up counter)와 내려세기 계수기(down counter) 등으로 구별할 수 있습니다. ... 그 외에 쉬프트 레지스터도 일종의 계수기로 볼 수 있는데, 귀환 반복한다 하여 고리계수기(ring counter)라고도 부르며 그 변형에 Johnson 계수기가 있습니다. 16진 동기식
    리포트 | 3페이지 | 1,500원 | 등록일 2020.10.10
  • 논리회로실험 카운터 설계
    출처 (Reference)1) 카운터, 동기, 비동기- 카운터http://soofi.tistory.com/entry/%EC%B9%B4%EC%9A%B4%ED%84%B0counter-% ... 연결되며, 하나의 마스터클록은 모든 플립플롭을 동시에 동작시킨다.- 순차 논리회로의 설계에 따라 설계할 수 있다.- 카운터의 동작 시 전파지연시간이 없다.- 아래의 그림은 4비트 mod ... 그림은 본 예비실험에서 사용되는 비동기식 업카운트의 상태도와 논리회로도이며, 4비트의 2진 카운터이다. 0부터 클록의 수가 증가하면 15까지 증가하게 되고, 16개의 상태를 지녀, mod
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • (기초회로 및 디지털실험) 16진 동기 및 비동기 카운터 설계
    1인 경우에 출력이 1이 나오고 나머지 경우엔 0이 나온다.SN7476은 JK플립플롭의 TTL IC 소자로 두 개의 JK플립플롭으로 이루어져 있다.SN7490 소자는 Decade counter로 ... {n}→Q _{n+1}KQ _{n+1}0→00x0→11x1→0x11→1x0BA DC00011110001XX1011XX1111XX1101XX1BA DC0001111000X11X01X11X11X11X10X11XBA ... Mod-10 카운터와 마찬가지로 0~9까지의 숫자를 0000→ 0001→ 0010→ … → 1001의 순으로 나타내는 소자이다. 2진수로 출력이 되므로 4개의 출력 단자가 있다.2
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 정보처리기사요약(2.전자계산기구조)
    모드(mod rotate, move, AND, OR, complement 등㉡ 수치적 연산 : 고정 소수점 연산, 부동 소수점 연산, Pack 연산, 산술 shift 등② 자료의 수에 ... 플립플롭S RQt+1J KQt+10 00 11 01 1Qt01×0 00 11 01 1Qt01overline Qt3) T 플립플롭― JK 플립플롭의 J와 K를 연결한 것으로 주로 counter ... 원하는 내용이 cache memory에 없는 경우 : miss(주기억장치에서 찾아 사용)※ cache memory의 동작 과정― cpu가 처리하기 위해서 먼저 cache memory를
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • [예비레포트] Mod-n 카운터
    카운터 구성은 카운터 up/down 형태로 동기식과 비동기식의 구성이 가능하다. 4-bit counter state diagram위와 같이 4bit의 경우 2^4 개의 state를 ... 카운터 : mod-n 카운터는 0에서 n-1까지 표현할 수 있는 카운터로 n=16인 경우 16진수인 0에서 F까지 표현이 가능하다. ... 실험제목: Mod-n 카운터실험방법사용부품전원공급기, 디지털 멀티미터, 함수발생기, 오실로스코프Bread Board, 장비 probe저항, LEDIC : 7400, 7402, 7404
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • Mod - n 카운터
    n 카운터Modn 카운터는 0에서 n-1까지 표현할 수 있는 카운터로 n = 4인 경우 16진수인 0에서 F까지 표현이 가능하다. ... 기초전자회로실험 및 설계2 예비보고서제목 : Modn 카운터1. ... 실험목표- Flip-Flop을 이용하여 다양한 Modn 카운터(Counter)를 설계할 수 있다.- 비동기 카운터와 동기 카운터의 차이를 이해하고 비동기 / 동기 카운터를 설계할
    리포트 | 8페이지 | 1,000원 | 등록일 2016.04.12
  • [기초전자회로실험2] "Asynchronous Counter / Design of Synchronous Counters" 예비보고서
    실험방법 및 순서① 다음의 상태를 따르는 6-mod Gray code synchronous counter를 설계한다.② 회로를 설계하고 구성한다.③ 실험 회로는 실험 당일 날 제공 ... Modulo-n 카운터- N개의 상태를 갖는 카운터. 즉, 최대 N개까지 계수(計數) 가능.? ... Design a synchronous counter with up to 16 states in any selected order② Construct and test the counter
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • [토끼] Synchronous MOD 12 Counter, 10 Counter, N Counter 설계 및 구현, 검증
    카운터는 두 가지로 분류되는데, 리플카운터(ripple counter)와 동기카운터(synchronous)가 있다. ... 기초 전자 공학 실험2실험날짜:조 :조원:1.TitleSynchronous_counter2.Name구 분학 번이 름역 할 분 담3.Abstract입력펄스가 가해짐에 따라 정해진 순서대로 ... 이 기능은 그림(ⅱ)와 같이 ENABLE이 있는 증가기, n개의 ENABLE, n개의 두 입력 OR 게이트에 의해 구현될 수 있다. n개의 ENABLE은 신호 load를 사용하여 입력
    리포트 | 36페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • [mahobife]디지털회로실험 카운터, 쉬프트 레지스터 예비보고서입니다.
    카운터카운터 : 수를 헤아릴 수 있는 회로.asynchronous counter(비동기식 카운터) : 직렬카운터, 고속 카운팅에 부적합synchronous counter(동기식 카운터 ... 따라서 N비트 정보를 전송하기 위해서는 N개의 클럭이 필요하므로 전송시간이 병렬 레지스터보다 느리다. ... N비트 시프트 레지스터는 N개의 플립플롭이 필요하며 회로의 구성은 직렬(Serial)로 연결되어있다.시프트 레지스터는 2가지 방향으로 자리이동을 한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 디지털실험 - 실험 13. 비동기 계수기 예비
    counter)와 동기 카운터(synchronous counter)로 분류되며, 비동기 카운터를 리플 카운터(ripple counter)라고도 부른다. ... pulse의 수를 modulus라 하며, modulus가 n인 계수기를 mod-n 카운터라 한다.은 비동기식 10진 계수기의 한 예를 보여준다.4개의 플립플롭을 직렬로 연결하여 모두 ... 문제1) Mod-7 Counter를 설계하라.예비보고서 1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라.카운터는 비동기 카운터(asynchronous
    리포트 | 12페이지 | 1,500원 | 등록일 2017.04.02
  • 동기식 카운터
    모든 N값에 대하여 Mod-N 카운터 구성 할 수 있으며, Mod-N1 카운터와 Mod-N2 카운터를 직렬로 연결하면 N=N1N2의 관계가 성립합니다.3. ... 카운터를 Mod-N 카운터라고 합니다. ... J, K와 출력 Q를 모아서 다음 단 플립플롭의 J, K 입력으로 결선되도록 구성된 회로로서 순수한 동기식 카운터 회로에 비하여 AND게이트가 같은 JK 플립플롭을 이용한 동기식 counters에
    리포트 | 8페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 디지털실험 13예비 비동기 계수기
    pulse의 수를 modulus라 하며, modulus가 n인 계수기를 mod-n 카운터라 한다.은 비동기식 1-진 계수기의 한 예를 보여준다. 4개의 플립플롭을 직렬로 연결하여 ... 이걸 nand해서 클리어가 동작되면 0000으로 돌아가기 때문에 오류가 난다.애초에 7까지만 표시하면 되므로 ff을 3개만 쓰니 해결되었다.mod-7 counter 회로clkcba10002001301040115100610171108 ... 7 counter를 설계하라7까지 출력되고 원하지 않는 출력 8이 나왔을때는 clear에 0이 들어가서 출력을 0000으로 초기화 시킴.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.09.30 | 수정일 2014.11.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대