• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(960)
  • 리포트(908)
  • 시험자료(32)
  • 자기소개서(12)
  • 방송통신대(7)
  • 논문(1)

"mux" 검색결과 1-20 / 960건

  • 한양대 MUX & DEMUX
    관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 디지털 회로 실험-MUX와 DMUX
    MUX와 DMUX1. 목적-MUX와 DMUX의 동작원리를 이해한다.-MUX와 DMUX의 특성을 확인한다.2. ... 토론(실험 내용 요약, 결과와 이론 비교, 실험결과 및 느낀 점 등)1) 실험 내용 요약 : 이번 실험은 MUX와 DMUX의 동작원리를 이해하고 MUX와 DMUX의 특성을 확인하고 ... 관계 이론 요약MUX : 데이터 선택회로라고도 부르며2 ^{n}개의 입력신호 중 하나만을 선택하여 출력으로 내보내는 것을 의미하며 반드시 n개의 선택 신호를 갖는다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 논리회로실험 비교기와 MUX, ALU
    비교기와 MUX, ALU1. ... 실험 목표출력이 입력에 의해서만 정해지는 조합논리회로인 비교기, MUX, DEMUX, ALU의 개념과 특성에 대해 알아보고, 조합논리회로의 한 예로 주어진 ALU의 진리표를 토대로 ... docId=818348&cid=42344&categoryId=423442) MUX & DEMUXhttp://blog.naver.com/PostView.nhn?
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 4주차 MUX 설계
    1) Objective of the Experiment(실험 목적)이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling ... 검증할 수 있다.2) Theoretical Approach(이론)2.1) 4:1 MUX4:1 MUX는 a,b,c,d 4개의 input과 2개의 input selections s1, ... 동작원리는 4:1MUX의 output은 2개의 select bits의 조합에 의해 결정된다. 2개의 bit 이므로 총 4가지의 경우의 수가 있다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 디코더, mux, Comparator, 4비트 감가산기
    제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... (출처 http://m.blog.daum.net/happyanga/7528897)2)Mux(출처 - http://blog.naver.com/senshig?
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디코더, mux, comprator, 4비트 감가산기
    mux는 오른쪽 그림에서 보는바와 같이 여러개의 신호중에서 원하는 입력 신호를 출력하는 일을 합니다. ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. 내 용 :Decoder위 식에서는 2개의 입력값과 4개의 출력 값이 있습니다. ... 입력값 4개를 이용해서 7segment Display방식을 이용해서 숫자를 출력 할 수도 있습니다.여기에서 간격은 1ns로 하였고, 각각의 입력값의 변화에 따라 측정을 하였습니다.Mux우선
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • Mux&Decoder2차레포트 디지털회로설계
    , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3) 1비트 1x2 Demux Schematic , VHDL(4) 2x4 Decoder Schematic , ... 목디지털회로설계학 번.담당교수.이 름.제 1장 서론1-1 1차 레포트의 필요성 및 목적1-2 오늘 실습내용의 이론 설명제 2장 각각의 schematic디자인, VHDL디자인(1) 1비트 2x1 Mux ... (Multiplexer)멀티플렉서는 MUX, MPX라고 줄여 부르기도 하며여러 개의 입력 중 하나를 선택해 출력으로 내보내는 논리 회로를 말한다.DEMUX(DeMultiplexer)
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... 출력은 MUX이므로 1개이다.Body 부분에서는 해당 함수의 동작을 정의했다. case문을 이용하여 Sel로 입력되는 비트 값에 따라 입력 선을 선택하여 TMP에 대입해주는 방식이다 ... 이때 Sel과 Input의 관계는 다양하게 정의할 수 있지만, 간단하게 2진수인 Sel의 크기순으로 Input을 배정했다.MUX_8X1use.work.my_package.all로 전에
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 논리회로실험 비교기와 MUX, ALU 결과보고서
    비교기와 MUX, ALU1. 실험 목표VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 예비보고서에서 배운 4비트 ALU를 작성한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다. ... 비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • VHDL 실습(XNOR, MUX, FullAdder, 4-bit FullAdder) 결과
    MUX입력출력S0S1Z00I001I110I211I3☞ 입력 S0와 S1의 값에 따라 출력 Z의 값이 I0 ~ I3 중 선택되어 출력된다. ... VHDL 실습(XNOR, MUX, FullAdder, 4 Bit FullAdder) 결과 보고서※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 결과
    디지털공학실험 ? 5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 결과보고서◈ 실험 결과 및 검토가. 디코더의 출력을 확인하여 다음의 진리표를 완성하고 설명하라.☞ 브레드보드에 회로를 구성하고 입력에 따른 출력값을 보여주는 사진들.입력출력ABCY7Y6Y5Y4Y3Y2Y..
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 예비
    디지털공학 실험 ? 5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 예비보고서1. 목적가. 인코더와 디코더의 기능 및 그 구성 방법을 익힌다.나. 멀티플렉서와 디멀티플렉서의 구조와 동작 원리를 이해하고 이들 회로에 대한 응용 예를 배운다.2. 이론가. 디코더‘복호기’..
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
  • 전자전기컴퓨터설계실험2(전전설2) (5) Encoder and Mux
    이것은 알려진 MUX의 작동과 일치하므로 2 × 1 MUX가 적절하게 구현되었음을 확인할 수 있다.실험 결과S0I000I111Z00[사진 56] 2 × 1 MUX (case문), S_I0 ... _I1 = 0_00_11[표 36] 2 × 1 MUX (case문), S_I0_I1 = 0_00_11실험 결과S0I000I111Z00[사진 57] 2 × 1 MUX (if문), S_I0 ... _I1 = 0_00_11[표 37] 2 × 1 MUX (if문), S_I0_I1 = 0_00_11실험 결과S0I001I100Z01[사진 58] 2 × 1 MUX (case문), S_I0
    리포트 | 54페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    최대 2까지 증가.실습제목: MUX 2x11. 주제 배경 이론MUX는 신호 선택기다. ... 그러기 위해서 우선 일반 적인 시계의 기능을 하기 위한 clock 신호와 카운트 값을 조정하는 신호를 MUX에 받는다. ... 그리고 24진 카운터를 추가로 만들면 시를 표현할 수 있다. 2x1 MUX를 이용하여 시계의 시간을 바꿀 수 있는 기능을 추가한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [A+, 에리카] 2021-1학기 논리설계및실험 MUX, DEMUX 실험결과보고서
    실험 목적MUX, DEMUX를 이해하고 이를 회로로 설계할 수 있다.Chapter 2. ... 관련 이론ü 멀티플렉서와 디멀티플렉서는 서로 반대 동작을 수행하는 회로 쌍으로, 입력단과 출력단을 제어신호에 따라 연결하는 일종의 스위치 박스이다.ü Multiplexer(MUX)-
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    Ripple carry adder구현 코드wave 결과고찰4.참고문헌.4:1 mux구현코드wave 결과- 4:1 mux의 truth table은 sel1, sel2에 00 넣어줬을 ... 마이크로프로세서 과제Verilog를 통한 4:1 mux, ripple carry adder 구현Major전자전기컴퓨터공학부Subject마이크로프로세서ProfessorStudent ID ... 이는 익숙해지는 데 어쩔 수 없던 부분이었던 것 같다. 4:1 mux는 구현이 잘 되었는데 full adder를 half adder로밖에 한 것밖에 생각이 안나 구현하는데 어려움을
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,MUX & DEMUX
    실험 목적MUX와 DEMUX의 원리를 확인 할 수 있다. Chapter 2. 관련 이론1. ... Multiplexer(MUX)먹스는 여러 개의 회로에서 입력된 신호 중에서 어느 한 입력신호를 선택해 출력회로를 전달해주는 기능을 수행하는 데이터 선택 논리회로이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    MUX와 Decoder의 구조를 이해하여 내부 시그널을 인풋, 아웃풋 값들과 연결 짓는 것이 중요한 실습 포인트이다. ... 세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to 16
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 5주차 예비+결과(코드포함) Combinational_Logic_Design_II Decoder, Encoder and MUX
    결과의 정확성 검증은 결론에서 다룰 예정이다.2.4:1 MUX 예비보고서에서는 2-bits 4:1 MUX를 설계했으나, 1-bit 4:1 MUX로 시뮬레이션을 다시 수행하였다.코드는 ... 정상적으로 2:1 MUX 기능을 수행할 수 있다.2.4:1 MUX마찬가지로 TABLE III는 설계한 4:1 MUX의 시뮬레이션 결과와 예상 결과를 비교하여 실험의 정확성을 판단한 ... -Decoder, Encoder, MUX의 구성과 작동 방식을 이해 및 설계한다.나.실험결과1.2-bits 2:1 MUXFig.1.은 2-bits 2:1 MUX의 시뮬레이션 결과이다
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대