• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(27)
  • 리포트(26)
  • 이력서(1)

"spartan" 검색결과 1-20 / 27건

  • verilog를 이용한 spartan led 제어
    ;reg [3:0] subB;reg ROTA;reg ROTB;reg delay_rotary1;parameter s0=0, s1=1, s2=2, s3=3, s4=4, s5=5, s6= ... 6, s7=7, s8=8;initial now ... x=010:오른쪽shift x=011:두칸씩왼쪽shift x=100:두칸씩오른쪽shiftA:00000001 B:00000010 C:00000100 D:00001000E:00010000
    리포트 | 17페이지 | 3,000원 | 등록일 2010.10.27
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    FPGA: XC3S200 (Optional XC3S50, XC3S400)11. LED : 8EA12. ... Design summary 에서 하이레벨의 정보를 볼 수 있고, Text editor에서는 문서 파일들을 볼 수도 있으며, waveform도 볼 수 있고, schematic도 볼 수 ... Xilinx Platform Flash PROM : XCF02S 2Mbit4. Power On LED : 3.3V5. switching Power : 5V DC6.
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    Specify the simulation results obtained in the class in detail.Functional simulationPreLab에서 했던 대로 오른쪽 ... 마우스 클릭으로 Short name-> Long Name으로 바꿔주고, Hexadecimal -> Binary로 바꿔주면 같은 결과를 얻을 수 있다.Timing simulation프리랩에서
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • VHDL 코드를 이용한 M bit 가산기와 비교기(spartan 보드 구현결과)
    설계실험ⅢM bit 가산기와 비교기목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "Postlab" Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 Xilinx 프로그램을 활용..
    리포트 | 7페이지 | 2,000원 | 등록일 2008.09.23
  • bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    Specify the simulation results (for assignments 1 and 2) obtained in the class in detail.Functional simulation ... Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.그림에서 bcd 코드에 3을 더한 값이 excess3로 출력됨을 볼 수 있다.Timing simulation ... use .............architecture behavioral of bse is --bse는 entity name, Table은 architecture namesignal state
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    ieee;2: use ieee.std_logic_1164.all;3: entity bcd2excess3 is4: port(bcd :in std_logic_vector(3 downto ... 이 실험을 통하여 VHDL의 사용법을 익혀보고, Behavioral model과 dataflow model로 프로그램을 해보고 두 개의 방식을 모두 simulation하여 결과가 제대로 ... IEEE는 또한 Language Reference Manual(LRM)을 발간하여 이 VHDL의 사용을 적극 장려하고 있다.▷ 1992년에 IEEE는 다시 “IEEE std_1164
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 서울시립대학교 전전설2 2주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    & purpose of this Lab이번 실험은 lab01에서 breadboard위에 다양한 소자를 배치하며 수행했던 과제를 Xilinx ISE14.7과 FPGA의 한 종류인 spartan ... 연산은 4-bit의 add이지만 이를 위해 이 실험은 기본 breadboard에 구현하였다면, 상당히 많은 공간을 차지하며, 복잡도도 상당하여 꽤나 골치 아픈 실험이었을 것이다.허나 spartan ... 따라서 XC3S200에서 구현 가능한 최대 논리 게이트 수는 4,320인 것 같다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    spartan-3 FPGA 요약위 표에서 XC3S200을 살펴보면 System Gates의 수가 200,000이고 Logic Cells(논리소자/셀 개수)의 수는 4320이다.본 ... 파일을 생성한다.Add source를 이용하여 앞에서 설계했던 half_adder.sch 파일을 찾아서 현재 project에 add 한다.이제 불러온 half_adder 모듈을 symbol로 ... 1-bit Full adder as Schematic먼저 full_adder 라는 이름으로 New project를 생성New source를 이용하여 schematic 타입의full_adder.sch
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 서강대학교 디지털논리회로실험 레포트 5주차
    실험에 사용되는 spartan 3 series가 포함되도록 설정한다.7. next를 누르면 summary를 확인할 수 있다. ... 클릭하여 step9에서 생성한 .sym파일을 추가하고 next를 누른다.5. ... 뒤 쪽 subtractor에서도 앞 쪽 subtractor와 같은 방식으로 뺄셈을 진행할 수 있다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 4주차
    DIP_SW2를 LOW로 하고 위 과정을 반복하여 표 4의 출력의 우측 column에 기록한다-역시 기록하였다.STEP12) 이 과정을 통해 확인한 4-to-1 mux의 기능을 spartan ... 그림 9에서는 8개의 three-state buffer를 포함하고 있는 74x541이 사용되었다.그림 SEQ 그림 \* ARABIC 9. three-state buffer를 이용해 ... , S1에는 DIP_SW0, DIP_SW1을 각각 연결하였다.
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • Lab#03 Verilog HDL
    -ISim (simulator)-XST (Synthesis tool)나. ... Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... Post-Lab ReportLab#03 Verilog HDL담당 교수강 상 혁담당 조교실 험 일2015. 10. 05실 험 조10조학 번이 름Contents1.
    리포트 | 20페이지 | 1,500원 | 등록일 2016.09.11
  • SPARTAN-3E 보드 스위치 응용
    => i1,sel => sel,z => z);tb_i0 : PROCESSBEGINi0 ... ;sel : in std_logic;z : out std_logic);end two_mux;architecture Behavioral of two_mux isbeginz i0,i1 ... 구현한다.MUX(Multiplexer)는 입력되는 신호들 중 선택된 하나의 입력 신호를 출력으로 나타내는 회로입니다. 2n개의 입력 가운데 하나를 선택하기 위해서 N개의 선택 제어신호(select
    리포트 | 7페이지 | 2,000원 | 등록일 2010.04.25
  • M bit 가산기와 비교기(VHDL 실험결과)
    전자전기컴퓨터설계실험ⅢM bit 가산기와 비교기목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "Postlab" Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 Xilinx 프..
    리포트 | 8페이지 | 2,000원 | 등록일 2008.06.01
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥9< 초록 (Abstract) >이번 실험은 2bit 2x1 multiplexer와 4x1 multiplexer를 직접 만들어 보고, spartan3로
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    이 기술 덕분에 Spartan-3 제품partan 제품군에 비해 집적도의 범위와 I/O 핀 카운트를 혁신적으로 개선했다. 5만~5백만 게이트 범위의 집적도에서 100:1 범위를 가지며 ... Snapshots 탭에는 현재 프로젝트 네비게이터에서 열린 프로젝트와 관련된 snapshot들이 나타나는데, 이 snapshot이란 사용중인 디렉토리(서브디렉토리를 포함한)의 모든 ... Design summary에서 하이 레벨의 정보를 볼 수 있고, Text Editor에서는 문서파일들을 볼 수도 있으며, waveform도 볼 수 있고, schematic도 볼 수
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • [임베디드하드웨어]Xillinx및 VHDL기반의 숫자야구게임
    임베디드하드웨어 시간에 했던 Xillinx툴 및 VHDL기반의 코딩언어를 이용한 숫자야구게임최종보고서 및 프로그램소스코드 및 발표자료 전부 포함입니다.
    리포트 | 3,000원 | 등록일 2016.10.29 | 수정일 2016.11.01
  • English Education System (of Korea)
    /~lward/Payne_sundry/Dale_1932.html" \o "http://spartan.ac.brocku.ca/~lward/Payne_sundry/Dale_1932.html ... He made several contributions to audio and visual instruction, including a HYPERLINK "http://spartan.ac.brocku.ca ... This also supports Krashen’s theory too.
    리포트 | 8페이지 | 2,500원 | 등록일 2011.01.31
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    ;output_freq : positive := 1000);port( clk : in std_logic ;reset : in std_logic ;clk_out : out std_logic ... _1164.all;use ieee.std_logic_unsigned.all;entity TimeBase_Gen2 isgeneric ( main_clk : integer := 50000000 ... process( clk, reset )variable clk_cnt : integer range 0 to clk_hilow_cnt_value ;variable tmp_clk : std_logic
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    , S1, S0, Cout27007303F + F + CinF + A + CinDiscussion실험결과와 예상결과 비교 앞의 Supposed Data분석에서는 예비레포트 교안에 따라 ... 생성한다.iMPACT창의 Create PROM File을 선택한다.Device type : Xilinx Flash/Prom, PROM Family : platform flash, Device : scf01s를 ... Post-Lab ReportLab#02[HBE-ComboⅡ-SE] board[Xilinx Spartan3] FPGA chip[ISE] digital design tool담당 교수강
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • PostLab> Usage of xilinxISE on Spartan-3 설계실험 자일링스 및 스파르탄 사용법
    실험 결과1) Function simulation / Timing simulation2) Specify the experimental results3) Analyze and comment ... 실험 결과1> Function simulation / Timing simulation실험실에서 VHDL 코드로 작성을 한 뒤 Spartan Board로 옮기기 이전에 과연 이것이 Synthesis를 ... 아까 Functional simulation과는 사뭇 다른 모습이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.02
  • 아이템매니아 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대