• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(248)
  • 리포트(247)
  • 시험자료(1)

"toggle스위치" 검색결과 1-20 / 248건

  • 교류및전자회로실험 실험2 Timer 기초 예비보고서
    입력을 매 0.1초 마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED 가 0.5 초에 한 번 toggle 되고, 떼고 있는 동안에는 2 초에 한 번 toggle 되도록 ... 초에 한 번 toggle 되고, OFF 인 동안에는 2초에 한 번 toggle 되는 기능을 구현하기 위한 자세한 순서도 그림을 그리시오.6. ... 정수합이 1초를 경과했다면 led를 점멸하고, 아니라면 계속 정수를 수신하게 된다.(4) 스위치 입력을 매 0.1 초마다 한 번 인식하여, 스위치가 ON 인 동안에는 LED 가 0.5
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • 디지털 논리 회로 실험 레포트 [AND OR 게이트의 이용]
    토글 스위치 (Toggle Switch)토글 스위치는 두 가지 상태만을 가지고 있는 스위치 이다. ... 그리고A,`B,`C,`D 각각은 Toggle Switch을 이용하여 원하는 입력 값을 넣어줄 수 있도록 한다.만약 SN74LS10N에서 출력된 값이 약 5V라면 발광 다이오드를 통해 ... 스위치를 누른 사람들이 가진 주의 합이133.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.01.03
  • 교류및전자회로실험 실험2_TIMER 기초 결과보고서
    입력을 매 0.1초 마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED 가 0.5 초에 한 번 toggle 되고, 떼고 있는 동안에는 2 초에 한 번 toggle 되도록 ... #include // SimpleTimer 라이브러리를 포함#define LED 13 // led변수를 13번으로 지정#define SWITCH 2 // SWITCH 변수를 13번으로 ... // LED신호 1 이었다면 0으로 전환{digitalWrite(LED,LOW);}}}void notify() //notify 함수의 기능{SWsignal=digitalRead(SWITCH
    리포트 | 12페이지 | 1,000원 | 등록일 2024.08.17
  • TIMER 기초 실험 예비보고서
    스위치 입력을 매 0.1 초마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED가 0.5초에 한 번 toggle 되고, 때고 있는 동안에는 2초에 한 번 toggle되도록 프로그램을 ... 스위치가 ON인 동안에는 LED가 0.5 초에 한 번 toggle되고, OFF인 동안에는 2초에 한 번 toggle되는 기능을 구현하기 위한 자세한 순서도 그림을 그리시오.5. ... 주소를 저장 후, toggle되는 함수로 점프하여 led를 toggle시킨다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2021.04.08
  • TIMER 기초 결과보고서
    스위치 입력을 매 0.1 초마다 한 번 인식하고, 스위치를 누르고 있는 동안에는 LED가 0.5초에 한 번 toggle 되고, 때고 있는 동안에는 2초에 한 번 toggle되도록 프로그램을 ... -스위치 OFF일 때스위치를 누르지 않았을 때 2초간격으로 LED가 toggle되는 것을 알 수 있다. ... -void toggle(int timerId) : 지정된 타이머가 활성화 혹은 비활성화 시킨다. 위 코드의 시간에 맞춰 함수를 활성화 비활성화 시켜 LED의 점멸에 사용하였다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.25
  • 인하대 전자회로실험1, 전자공학실험1 INTERRUPT
    이후 MsTimer2::set(1000, toggle) 함수를 이용하여 1초마다 toggle 인터럽트를 실행하도록 설정하였다. ... OFF동작digitalWrite(LED2, LED);digitalWrite(LED3, LED);}void toggle(){LED=! ... LED; //LED핀들이 OFF와 ON동작 하는 toggle 함수 구현}고찰 및 실험 평가이번에는 Timer 인터럽트 방식을 이용하여 실험하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.01
  • 홍익대 디지털논리실험및설계 7주차 예비보고서 A+
    스위치를 손으로 한번 누를 때, 접점 부근에서 스위치의 물리적인 떨림에 의해 여러 번 눌러지는 현상을 채터링이라고 한다.이는 소프트웨어적 방법과 하드웨어적 방법으로 해결할 수 있는데 ... , 이 때 하드웨어를 이용해 해결하는 방법이 회로의 스위치에 J-K Flip-flop을 연결하는 것이다.2. ... S-R Latch는 입력이 1,1일 때 사용할 수 없지만, J-K Flip-Flop에서는 출력값이 뒤집어지는 toggle 기능이 추가된다.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.09.18
  • 홍익대_디지털논리회로실험_7주차 예비보고서_A+
    J-K Flip-flop의 입력이 1, 1일 땐 toggle이 실행된다. toggle이란 이전 결과값을 반전해서 출력하는 것이다. ... 예로 들어 이전 출력 값이 Q = 0이였다면 toggle되어 Q=1이 출력되는 것이다. S-R Latch는 EN에 1이 입력될 때만 출력값이 변할 수 있다. ... 이에 대해 설명하시오.스위치의 접점이 붙거나 떨어질 때 기계적인 진동에 의해 매우 짧은 시간안에 접점이 붙었다가 떨어지는 것을 반복하는 현상이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • D 래치 및 D 플립-플롭, J-K 플립-플롭 결과레포트
    Enable 스위치가 Ready 위치에 있지만 입력 스위치 중 하나가 열려도 LED가 켜지지 않는다. 이 문제에 대한 세 가지 이상의 원인을 제시해 보라. ... - 침입자 경보장치는 Enable 입력이 1이고, NC switches(Door, windows 등)이 open 상태일 때 경보가 울리도록 구성되어 있다. ... Data Input이 1일 때에는 토글 동작을 할 때 Toggle 과정에서 노이즈가 발생해 주기가 일정하지 않았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.10.09 | 수정일 2022.10.14
  • (전자회로실험1) Interrupt 결과보고서
    먼저 임의의 변수를 하나 선언하고 인터럽트가 발생할 때 동작하는 함수에 그 변수의 toggle을 실행하도록 함으로써 Echo동작의 ON/OFF, 스탑워치의 시작/정지 등의 기능들을 ... 만들어 낼 수 있었고 toggle된 변수의 값에 따라서 어떻게 동작할 것인지를 지정해줌으로써 세부적인 동작들을 구현해낼 수 있었다.인터럽트를 발생시키기 위해서 먼저 인터럽트 핀의 모드를 ... Interrupt (강의노트 p.15)두 번째 예제는 Timer Interrupt에 관한 내용이다.코드를 보면 타이머 인터럽트를 이용해 LED변수를 제어해 1초 단위로 LED를 toggle하는
    리포트 | 15페이지 | 1,000원 | 등록일 2020.07.09
  • 교류및전자회로실험 실험2 TIMER기초 예비보고서
    하지 않고, 1초가 경과하면 주 프로그램의 현재 실행 중이던 주소를 저장하여 toggle 되는 함수로 점프하여 LED를 toggle 시킨다. ... 실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드 전선, 저항, 스위치, LED, 오실로스코프4. ... /LED 멀티태스킹(4-5) 결선도 및 회로도(6) 프로그램(코드) 작성(7) 예상 결과표예상값측정값스위치 누름LED 0.5초마다 깜빡임스위치 누르지 않음LED 2초마다 깜빡임(8)
    리포트 | 10페이지 | 1,000원 | 등록일 2021.03.20
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    . * T Flip-Flop- T를 toggle로 보아 입력 T의 값이 0이면 상태가 유지되고, 1이면 반전된다. - ON/OFF가 교차되는 스위치에 사용되는 회로로 카운터 회로에
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 연세대학교 전기전자공학부 19-2학기 네트워크실험 프로젝트 결과 보고서
    ; //variable switch01 선언(acknowledgements를 받았는지 여부 확인에 이용)uint8_t recvNumber; //variable recvNumber 선언uint8 ... dstNodeID 선언uint8_t sendNodeID; //variable sendNodeID 선언uint8_t recvNodeID; //variable recvNodeID 선언uint8_t switch01 ... 그대로이고, 5초마다 green LED가 먼저 toggle한 직후에 acknowledgements를 받아 red LED가 toggle한다. 4번 node는 acknowledgements를
    리포트 | 16페이지 | 5,000원 | 등록일 2020.08.18
  • 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    OCxRef는 CNT와 CCRx가 match되었을 때 low가 된다• Output compare toggle: OCxRef가 match 시 toggle된다.• Output compare ... 따라서 LED는 대략 3초 간격으로 on/off를 반복하다가, S0 스위치가 눌리고 있는 동안, 현재의 상태를 유지하게 될 것이라 예상된다.실제로 S0 스위치를 눌러보니, CNT값이 ... .- 타이머 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다.이론2-1.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 실습 11. 카운터 설계 예비보고서
    단, CLK 입력에 클럭 입력 대신 버튼 스위치를 연결하여 버튼을 누를 때마다 카운트가 증가하도록 설계한다. ... gate 74HC00 : 4개NOR gate 74HC02 : 2개AND gate 74HC08 : 2개OR gate 74HC32 : 2개LED BL-R2131H(743GD) : 4개Switch ... : 1 MHz * (1/2) = 0.5 MHzQ2의 주파수: 1 MHz * (1/4) = 0.25 MHzHigh, K=High로 모든 alling edge에서 Q와 Q는 그 값이 toggle
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 인하대 전자회로실험1 Interrupt 결과보고서 [tinkercad]
    두번째 과제에서는 스탑워치를 실행시키기 위한 토글 b를 선언해주었고 스탑워치 내에서 0의 입력을 인식하기위해 토글 toggle을 선언해주었다. ... 값 입력출력(출력없음)1번스위치 클릭값입력출력1번스위치 클릭값 입력출력(출력없음)2번스위치 클릭0입력스탑워치시작0입력스탑워치일시정지0입력재시작1입력정지 및 초기화2번스위치클릭echo모드 ... 동작중 2번스위치 클릭(1)echo모드 동작중2번스위치 클릭(2)스탑워치 동작중 1번스위치 클릭(1)스탑워치 동작중 1번스위치 클릭(2)고찰Interrupt는 외부 입력에 반응하여
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.19
  • 홍익대_디지털논리회로실험_8주차 예비보고서_A+
    Gnd와 Vcc는 각각 7번 14번 핀이다.1.4 T Flip-flop의 동작에 대해 설명하시오.T Flip-flop은 Toggle Flip-flop이라고도 불린다. ... 그러므로 CLK에 연결된 스위치를 올릴 때 D에 입력되어 있는 값이 그대로 Q에 출력되는 결과를 볼 수 있다. ... Positive edge이므로 CLK에 연결된 스위치가 올라갈 때 아주 잠시 EN이 들어온다고 생각할 수 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 한기대 유공압보고서(주회로 최소신호)실험보고서 할인자료
    상태로 만든다.스위치: 조작부+접점부조작부는: 자동복귀형(push button),수동복귀형(toggle,select),잔류접점형접점부: conact,maker,a접점,b접점,c접점재료 ... 극에서는 0V이다.스위치모듈: 젤 위에 스위치를 잘 보면 다른 스위치 와 달리 뾰족 튀어 나와 있다. ... 저 스위치는 토글 스위치이다.릴레이모듈: 릴레이모듈에서는 a와b접점의 위치를 꼭 외워야한다.
    리포트 | 7페이지 | 2,000원 (10%↓) 1800원 | 등록일 2022.07.18
  • [유공압기초실습]전기공압 기초회로 - 주회로 최소신호
    (push button), 수동복귀형(toggle,select), 잔류접점형4) 접점부 : conact, aker, a접점, b접점, c접점나. ... 극에서는 0V이다.2) 스위치모듈 : 젤 위에 스위치를 잘 보면 다른 스위치 와 달리 뾰족 튀어 나와 있다. ... 저 스위치는 토글 스위치이다.3) 릴레이모듈: 릴레이모듈에서는 a와b접점의 위치를 꼭 외워야한다.
    리포트 | 12페이지 | 4,000원 | 등록일 2022.06.18
  • [에리카A+] 마이크로프로세서응용 Lab7 Assembler Language Programming
    Switch with Assembly Language > ... 2POPR19; 2PUSHR19; 2POPR19; 2PUSHR19; 2POPR19; 2DECR19; 1BRNEBASE1US; 2 (total 16 cycles = 1 us)RET< Toggle ... 구현 방법이번에 구현할 프로그램은 토글스위치이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대