• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,118)
  • 리포트(1,023)
  • 시험자료(46)
  • 서식(14)
  • 이력서(11)
  • 자기소개서(9)
  • 방송통신대(8)
  • 논문(7)

"u-port" 검색결과 1-20 / 1,118건

  • U-PORT & SMART-PORT에 대한 전반적인 설명 자료와 현재 구축현황에 관한 자료
    U-Port Smart-Port 6 조INDEX 목차 u-Port? Smart-Port 활용 기술 ( 국내 ) Smart-Port 활용 기술 ( 국외 )u-Port? ... u-Port ‘ubiquitous Port’ 의 줄임말로 u-Port 는 항만을 통하는 모든 수출입 물류 프로세스에 대해 u-IT 기술을 기반으로 실시간 경로 추적이 가능한 시스템이 ... 대응을 위해 항만에서의 탄소 배출량 감축 및 최소화 요구 u-Port 주요 사업 및 기술u-Port 의 개념도 u-Port 주요 사업 및 기술 01 02GCTS 란 ?
    리포트 | 74페이지 | 5,000원 | 등록일 2016.01.11
  • U-Port 활성화 방안 유포트
    본론1) U-Port 개요2) U-Port 구축의 필요성3) U-Port 기대효과4) 국내항의 U-Port 추진사례5) 외국항의 U-Port 추진 사례3. ... U-Port 시스템의소개와 사례해양산업경영학과200713356최성국- 목차 -1. 서론2. ... 또한 e-Seal의 적용으로 국제적 보안규제에 효율적으로 대응하고 화물의 보안성을 한층 강화할 수 있다.2) U-Port 구축의 필요성U-Port는 미국 관세청이 전 세계 항만에서
    리포트 | 14페이지 | 1,500원 | 등록일 2013.03.19
  • [유비쿼터스 기술을 이용한 U-port] 유비쿼터스 항만 시스템(U port)의 개요와 기대효과
    [유비쿼터스 기술을 이용한 U-port] 유비쿼터스 항만 시스템(U port)의 개요와 기대효과목차* 유비쿼터스 기술을 이용한 U-portⅠ. ... 유비쿼터스 기술을 이용한 U-Port1. ... 유비쿼터스 항만 시스템(U-Port)의 개요1. EFID 자동인식2. 화물경로 자동 추적3. 실시간 물류정보 제공Ⅱ. U-Port의 기대효과1. 업무효율이 높아진다.2.
    리포트 | 5페이지 | 2,000원 | 등록일 2011.11.04
  • 유비쿼터스를 이용한 u-선박&u-port
    목 차 1. u- 선박 u-port 개 념 2. ... u-port 4 Yes! ... 선박 u-port 개념 3 u-port 개념도Dept. of Mechanical Engineering Hannam University 2.
    리포트 | 17페이지 | 1,500원 | 등록일 2009.11.13
  • A+산업안전학과 중간과제 리포트_화학공정안전관점에서 전 세계에서 발생한 화재 또는 폭발 사고사례 1건에 대한 원인, 결과, 예방대책 조사 할인자료
    미국화학물질사고조사국(U.S. ... 본 과제는 2019년 발생한 미국 텍사스 TPC 화학공장 화재와 폭발사고에 대해서 원인과 결과 예방대책을 분석하고자 한다.2019년 11월 27일 새벽 1시 텍사스 주(州) Port ... 현장의 직원 2명이 다치고 최소 5명의 지역주민이 부상을 당하는 인명피해가 있었으며 사고 현장에서만 4억5000만 달러, Port Neches City 지역에 1억5300만 달러의
    방송통신대 | 3페이지 | 1,000원 (10%↓) 900원 | 등록일 2024.08.10
  • VHDL-1-가산기,감산기
    map(temp1, Ci, S, temp3);ORG : OR_VHDL port map(temp3, temp2, Co);end Structural;-- 포트의 입출력을 정의한다.-- ... 그래서 외부에서 캐리를 받는component;beginHALF1 : Half_Adder port map(X, Y, temp1, temp2);HALF2 : Half_Adder port ... map(X, Y, temp1, temp2);HALF2 : Half_Substractor port map(temp1, Bi, D, temp3);ORG : OR_VHDL port map
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 중심정맥관 관리(C-line,Chemo port,히크만 카테터)
    ml, 소아 10u/ml, 미숙아 1u/ml⑧ Heparin 주입 빈도 : 매일 시행한다.⑨ 주의- 카테터 Tip이 혈관 벽에 붙어있는 경태, 분비 물 양상, 불편사 항 점검④ 소독장갑 ... 분류목 부위의 경정맥을 통해 삽입 : C - line, Hickman - cath, Perm - cath, Chemo port팔에 있는 정맥을 통해 삽입 : PICC1) C-line ... 그리고 포트는 중심선 가까이 위 치하는 것이 더 안정감이 있다.(1) Chemo port needle(Non - coring needle)끝이 구부러진 형태의 ㄱ자형 Non - coring
    리포트 | 13페이지 | 3,900원 | 등록일 2024.06.08 | 수정일 2024.06.18
  • Kelly v. United States [140 S.Ct. 1565]
    See 18 U. S. C. §§1343,666(a)(1)(A). ... United States, 483 U.S. 350, 358 (1987). ... 후술하겠지만, 정부는 Baroni와 Kelly의 책략-두 가지 이론 중 어느 한 개도- “항만관리청의 자산을 겨냥한 것”이라고 증명할 수 없었다.
    리포트 | 16페이지 | 1,000원 | 등록일 2020.12.14 | 수정일 2022.06.12
  • 사업계획서 (미국 현지화 - 영문작성)
    CONCLUSIONProduct U S S. Korea A S I A S F Dae -dong MediaInternational Trade U S S. ... Korea A S I A San Antonio Dae -dong Media Pusan Port Houston Port via L.A 3 days 20 - 25 10 days HTSUS ... dollar PriceMarketing Strategy PromotionFinancial Analysis Exchange Rate : 1 USD = 1100 KRW (2011) Korea U.S
    리포트 | 21페이지 | 6,000원 | 등록일 2022.06.02
  • 코로나 원인과 예방 네덜란드어
    een masker wanneer u in contact komt met andere personen, en KF94-maskers worden aanbevolen voor een ... Sectoren zoals reizen, restaurants, hotels en sport zijn zwaar getroffen. ... complicaties.Voor de meest recente informatie over COVID-19 en regionale aanbevelingen kunt u contact
    리포트 | 2페이지 | 1,000원 | 등록일 2023.10.02
  • Atmega를 활용한 온도 센서 제어 코드
    >#define U_C unsigned char#define U_I unsigned int#define U_L unsigned long//===================== ... ============================#define SS PORTB.0#define SCK PORTB.1#define MOSI PORTB.2#define Out PORTE ... //DTS-L300-V2 GPIO interface//------------------------------------------------------#pragma opt-//---
    리포트 | 4페이지 | 2,500원 | 등록일 2020.11.24
  • 상급건강사정 숙제 / history taking / physical examination
    films, orthopedics referralfollow-upF/U 1 week2) Rt.knee swellingdiagnostic test매일 무릎 둘레 확인medicationnoneeducation-다음 ... F/U 까지 일주일간 직장이나 운동을 삼가도록 함 ... PH· seasonal hay fever in spring· 사고, 외상: Hx of bilateral knee and ankle sprains r/t sports injuries
    리포트 | 2페이지 | 1,000원 | 등록일 2023.09.23
  • [건국대 분석화학실험 A+]예비_실험11_ GC-MS을 이용하여 혼합물의 측정 및 분석
    원자질량단위 u나 Da는 같은 기본정의를 갖는다. 1u=1 Da=1.665402×10-27 kg±0.59 ppm 이다.일반적으로 정량분석화학에서는 여러가지 isotope의 평균질량이 ... 시료 주입부(injection port) 부분으로 주입된 시료가 높은 온도에서 기화하여 분리관으로 이동한다. ... 질량은 Dalton(Da)이나 원자질량단위(u)을 사용하며, m/z는 thomson(Th)이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.10 | 수정일 2023.03.15
  • [모성간호학 실습 A+] 유방암 case study, breast cancer, 간호진단 3개(체액부족 위험성, 고체온, 우울)
    ---+ : 간질환, 담도 폐쇄 질환 및 용혈성 질환U.Urobilinogentrace--+ : 용혈, 간질환U.Ketone---+ : 심한 운동, 단식, 임신, 스트레스, 구토, ... -NormalU.Glucose---+ : 당뇨, 쿠싱증후군, 간 및 췌장질환U.Leukocyte+(25)--NormalU.Sepcific Gravity1.0101.0101.003-1.030 ... PT INR1.111.040.8-1.12INR④ 혈액 응고검사⑤ 뇨화학검사(URI) - UA(10종)검 사 명대상자 결과치정상치결과 의미08/2809/03U.Blood---NormalU.Bilirubin
    리포트 | 23페이지 | 2,000원 | 등록일 2019.09.30
  • 서강대학교 23년도 마이크로프로세서응용실험 8주차 Lab08 결과레포트 (A+자료)
    dot matrix에 원하는 문자/문형을 표현하기 위해서는 table lookup 방식을 활용하는 것이 좋다. table은 array, structure를 통해 작성할 수 있는데,u8 ... 해당되는 pin의 모드를 설정하게 되는데, GPIO port C의 pin13이 0x3으로 설정되었고, GPIO port A의 pin5가 0x3으로 설정되었다. ... 이렇게 GPIO 입출력 port의 mode를 설정할 수 있다.그림 B-2: GPIO_Write 함수같은 방식으로, 특정 pin에 value를 write하는 함수도 만들 수 있었다.
    리포트 | 31페이지 | 2,000원 | 등록일 2024.03.24
  • 미래도시(u-city) ppt
    및 적용서비스U – Port RFID기반의 연계 운송 통합 서비스 무선 네트워크 구축기반의 선박업무 자동화 , IPv6/RFID 기반의 출입통제/보안관리 시스템 , Zigbee를 ... 미래도시 U-CITY 학번 이름목차U-CITY의 개념 U-CITY의 유형 제공 기술 및 적용서비스 국내 U-CITY 추진현황 U-CITY로 바라보는 미래 전망 문제 관련 동영상U-City란 ... 시스템, 통합교통카드시스템 U-Convention WiBro를 활용한 방문객에게 전시단체 솔루션 및 관광정보 서비스 도입유형별 국내 U-city 추진현황U-city로 바라본 미래도시
    리포트 | 15페이지 | 3,000원 | 등록일 2021.07.13 | 수정일 2023.03.14
  • 디지털건축 작가와 작품 사유조사
    CARDIFF BAY OPERA HOUSE 1995, U.K그림 3. CARDIFF BAY OPERA HOUSE. U.K. ... YOKOHAMA PORT TERMINAL. JAPAN. ... 비선형,중층구조,탈중심,비위계적fold,rhizome,simulacresweep,blob그렉 린은 들뢰즈·가타리의 구체적 ‘생성’사유, 즉 fold, rhizome, simulac-re의
    리포트 | 4페이지 | 2,000원 | 등록일 2021.02.03
  • 방통대시사영어 기말과제(2020년 <시사영어> 인터넷(멀티미디어)강의 중에서 '제1강, 제6강~제14강'의 총 10개 강)
    Secret Service conducts drone exerciseThe U.S. ... evacuated.B: Ten killed in helicopter crash in Argentina, French Olympians among the deadThree French sport ... Al-Azhar University described the killing of Christians barbaric and stated that the actions have nothing
    방송통신대 | 11페이지 | 3,000원 | 등록일 2021.02.15
  • (응용공학실험) 하이브리드로켓 (A+자료입니다)
    NABLA p _{x=`} rho ( {Partial u} over {Partial t} + {Partial u} over {Partial x} + {Partial u} over { ... Partial y} + {Partial u} over {Partial z} )즉 추력은 속도와 속도의 변화량 그리고 밀도와 관련됨을 알 수 있다. ... Diameter(mm)15Port number1Outer Diameter(mm)60Grain Length(mm)2002.
    리포트 | 20페이지 | 3,000원 | 등록일 2020.02.01 | 수정일 2021.05.12
  • 지역관광 홍보영상 분석(영문/구로,인천,김포)
    v=hcRG5Gb-A-U" https://www.youtube.com/watch? ... v=hcRG5Gb-A-U –인천관광홍보영상-3These three videos that I introduced show differences in the format of the video ... Festival/ Watching performances and baseball games at Gocheok Sky DomeOpen port area, Songdo Convensia
    리포트 | 2페이지 | 2,500원 | 등록일 2023.03.06 | 수정일 2023.12.30
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대