• 통큰쿠폰이벤트-통합
  • 통합검색(194)
  • 리포트(150)
  • 시험자료(28)
  • 자기소개서(10)
  • 논문(3)
  • 방송통신대(2)
  • 서식(1)

"uml모델링" 검색결과 1-20 / 194건

  • UML 비즈니스 모델링
    UML 비즈니스 모델링비즈니스 모델링의 의의IT 시스템 비즈니스 시스템(또는 체계)의 업무를 효율적으로 돕기 위해서 개발 조직이 수행하고 있는 현행 비즈니스 시스템을 반영해야 함 비즈니스에서 ... 원하는 기능을 하도록 구성 → 환경이 변화됐을 때 변화를 반영 → 서비스의 연결 구성을 변화시켜 새로운 기능을 제공 서비스를 식별하고 서비스들 간의 관계를 표현할 수 있는 표현법 UML과 ... UP에서는 비즈니스 모델링을 제시*비즈니스 모델링의 의의비즈니스 모델링은 시스템 개발 노력을 투입하기 이전에 수행 비즈니스 모델로부터 소프트웨어 요구사항을 유도할 수 있음 비즈니스
    리포트 | 38페이지 | 4,000원 | 등록일 2012.09.14
  • UML을 이용한 PMSM 구동 시스템 모델링
    (MngDriver) / Activity Diagram (CheckCurrentFault)ConclusionEnterprise Architect 를 활용하여 PmsmVc 시스템을 UML로 ... Datatype 정의와 같은 표현을 이 예제에서는 Class Diagram을 사용하였으나 이는 Diagram 작성 및 기 작성된 자료 열람에 번거로움을 느꼈다.추후 시스템 개발 시 UML
    리포트 | 5페이지 | 2,000원 | 등록일 2015.05.14
  • 전자사진관 UML 모델링
    전자사진관 개요사진보정PC비치된컴퓨터카메라촬영현상대기서버현상기고객보관함사진보정은 사진촬영이 선행되어야 한다고객이 갖는 속성과 operation을 subclass인 비회원과 회원들이 상속받는다회원은 비회원과는 달리 회원만의 속성과 operation이 있다사진작가는 직원의..
    리포트 | 9페이지 | 1,000원 | 등록일 2010.12.07
  • UML을 활용한 시스템 분석 설계 4장 기능적 모델링 연습문제 답안
    define) Jacobson의 모델링기법 추가1997년1월 : UML 1.0발표 (MS, Oracle, IBM, HP참여)9월 : UML 1.1발표 (OMG에 표준화안 상장)11월 ... 비즈니스 프로세스 모델링은 왜 중요하며 어떻게 모델링하는지 설명하라. ... (by Rumbaugh)Ob jectory(by Jacobson)OOA/OOD(by Booch)Etc..1995년Booch + Rumbaugh의 모델링기법 통일1996년(UML0.9
    리포트 | 6페이지 | 2,500원 | 등록일 2014.04.09 | 수정일 2022.02.15
  • 시스템분석 레포트.UML 모델링 순차.활동.유스케이스
    Final Report-대학 입시 시스템-과목명시스템 분석담당교수마용범 교수님제출일2010년 6월 18일23조12060909 김소영12060914 김용수12080934 박소정Contents-Project Proposal-System Request·Project Spon..
    리포트 | 15페이지 | 3,000원 | 등록일 2010.06.20
  • [모델링] 증명서 자동발급기 UML 분석
    1. Activity Diagram초기화면수수료투입주민등록번호 입력신상정보 확인증명서 종류 선택증명서 발급매수 입력최종확인인쇄 및 발급불량오류취소취소취소취소투입입력확인수수료재투입주민등록번호 수정확인확인확인Kyung Hee University200070211 정창석2. ..
    리포트 | 25페이지 | 1,500원 | 등록일 2004.10.20
  • [소프트웨어공학] UML-기본행동모델링
    기본 행동 모델링( 2000.03.23. )※ Reference1. UML 사용자 지침서 (단원 4) - 인터비젼 19992. ... ://www.uml.co.kr/ - UML Korea□ 목차·Use-case·Use-case Diagram·Interaction·Interaction Diagram·Activity ... Professional VB UML - Jake Strum - Wrox, 19993.
    리포트 | 8페이지 | 1,000원 | 등록일 2002.11.09
  • 정보처리기사 1과목 필기 요점정리 입니다.
    ,요구사항 할당,협상,정형 분석요구사항 확인 기법요구사항 검토,프로토타이핑,모델 검증,인수테스트UML-시스템 개발과정에서 시스템 개발자와 고객 또는개발자 상호 간의 의사소통의 원활하게 ... - 동적 모델링 - 기능 모델링행위 다이어그램=동적 모델링유스케이스 다이어그램 (Use Case Diagram)-기능 모델링 작업에 사용시퀀스 다이어그램 (Sequence Diagram ... 표현프로세스도출-의견교환,식별,이해 하는 단계로 의사소통이 중요-인터뷰,설문,브레인스토밍분석-명확하지 않은 요구사항을 거르는 단계명세-문서화하는 단계확인요구사항 분석 기법요구사항 분류,개념 모델링
    시험자료 | 4페이지 | 3,000원 | 등록일 2021.05.25
  • 부경대 임베디드시스템개론 지하유류저장탱크
    UML 사용 프로그램- UML: 요구분석, 시스템 설계, 시스템 구현 등의 시스템 개발 과정에서 개발자 간의 의사소통이 원활하 게 이루어지도록 표준화한 모델링 언어이다. ... 모델링에 대한 표현력이 강하고 비교적 모순이 적은 논리적 인 표기법을 가진 언어라는 장점이 있다. - StarUML: 빠르고 유연하게 확장 가능하며 풍부한 기능을 가진 UML(Unified ... Modeling Language)을 지원하는 소프트웨어 모델링 플랫폼이다.지하 유류저장 탱크 감시시스템지하 유류저장 탱크 감시시스템은 8개의 지하 탱크들의 각각의 온도를 읽고 가솔린양을
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.08
  • 정보처리기사 필기 당일 벼락치기 요약본
    DBMS(DataBase Management System)= 데이터베이스 관리 시스템 분석 고려사항① 무결성(가용성)② 일관성(상호호환성)③ 효율성(성능)④ 회복⑤ 보안6) UML ... (Unified Modeling Language)= 표준화된 모델링 언어객제 지향 시스템을 개발할 때 산출물을명세화, 시각화, 문서화하는데 사용7) 소프트웨어 생명주기① 폭포수 모형
    시험자료 | 2페이지 | 무료 | 등록일 2024.06.12
  • 항공물류 리포트(UML)
    -시스템에 대한 요건을 이해하기 위한 목적-Use Case View를 모델링 하는 다이어그램-use case는 이후의 모든 시스템분석, 설계, 개발, 시험에 걸쳐 영향을 미침-시스템의
    리포트 | 3페이지 | 2,000원 | 등록일 2021.02.18
  • 경북대학교 일반대학원 소프트웨어학과 수학계획서
    진행계획저는 경북대 대학원에서 직무분리와 데이터 채색에 기반한 MAC 모델 설계: DSDC-MAC 연구, 협동조합 수집·분석 프레임워크 개발을 위한 정형명세 및 메타모델 연구, UML을 ... 방법 연구, DSDC-MAC 모델의 구현 시스템 설계 및 정확성 검사 연구, 서비스 지향 클라우드 모델링 방법 및 프로세스 연구 등에 관심이 있습니다.2. ... 분야 또는 희망전공저는 XL-BPMN 모델 기반 서비스 유사도 측정 기법 연구, 클라우드 기반의 IoT 소프트웨어 개발을 위한 메타 모델 및 정형 명세 연구, 휘처 기반 클라우드 모델링
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.08.20
  • 2024년 정보처리기사 실기 준비를 위한 기출문제 정리1 (~23년)
    답) 요구 사항 분석 -> 개념적 데이터 모델링 -> 논리적 데이터 모델링 -> 물리적 데이터 모델링문) 인수 테스트 중 베타 테스트는 무엇인가? ... 답) GUI문) UML관계 중 개념화, 하나의 사물이 다른 사물에 비해 더 일반적, 구체적인지를 표현은답) Generalization(일반화) 관계문) UML의 유형중 속성, 메서드를 ... 답) 클래스문) 데이터 모델링 절차는?
    시험자료 | 8페이지 | 2,500원 | 등록일 2023.03.27 | 수정일 2023.11.24
  • 소프트웨어공학 ) 교재(2장, 9장)에서 설명되지 않은 SW 프로세스 모델 중 하나를 관련 문헌이나 인터넷 상의 자료를 찾아 조사하여 알기 쉽게 정리하라.
    설명되지 않은 ‘SW 프로세스 모델’ 중 하나를 관련 문헌이나 인터넷 상의 자료를 찾아 조사하여 알기 쉽게 정리하라.통합 프로세스 모델Object Management Group이 UML과 ... 반영한 프로토 타입 도출- User의 요구사항과 실현가능한 범위의 명확화- 액터와 유스케이스 도출- 반복적인 프로세스의 기간 계획, 프로세스 평가의 수행구체화elaboration모델링
    방송통신대 | 5페이지 | 5,000원 | 등록일 2021.07.26
  • [방송통신대학교]소프트웨어공학_동영상강의,기출_핵심요약노트
    Modeling Language)은 방법론이 아닌 표기법, 즉 모델링 언어방법론은 UP*** UML의 저자들이 제안한 점증적 반복적 개발 프로세스인 '통합 프로세스(UP, Unified ... 보안★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★그림 문제들 때문에 기출 문제를 실제로 봐야함 ★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★★*** UML(Unified
    방송통신대 | 31페이지 | 3,000원 | 등록일 2023.04.09
  • 과제 점수 만점!!) 모바일 시스템 앱 개발 과정에 필요한 개발 프로세스
    예를 들면 모델링 언어는 UML, 개발 언어는 C언어, 운영체제는 IOS, 안드로이드 둘 다 사용할 수 있어야 한다면 이는 비기능적 요구 사항이 된다.5.
    리포트 | 4페이지 | 3,000원 | 등록일 2023.03.07
  • 모바일 시스템 앱을 개발하고자 한다. 개발하고 싶은 분야를 선정하고, 지시사항을 참조하여 개발 프로세스에 필요한 내용을 정리해서 제출하세요.
    언어는 UML을 사용해야하고 개발 언어는 JAVA, 운영체제는 Linux에서 작동해야 하는 제약사항이 있다고 한다면 이 것들은 비기능적 요구사항으로 볼 수 있다. ... 동작속도처럼 기능적인 부분은 아니지만 측정 후 제한을 두고 시스템이 만족하도록 해야하는 것은 비기능적 요구사항이기에 기능적 요구사항과 확실한 구분을 지을 필요성이 있다.예를 들어 모델링
    리포트 | 4페이지 | 3,000원 | 등록일 2023.01.06
  • 컴활 1급 요약 최종
    : 多 모델링 언어 표준화▪ XML : 웹에서 구조화된 多 문서 상호 교환 (유연성) 새로운 태그, 속성 정의 문서의 내용과 표현방식이 독립적▪ VRML : 3차원 가상공간 표현, ... 부호기 : 해독 명령을 장치로 보낼 제어 신호 생성웹 프로그래밍 언어▪ CSS : ct측의 웹 브라우저에 의해 해석, 적용▪ css : 마크업 언어가 실제 표시될 모습을 꾸밈▪ UML
    시험자료 | 13페이지 | 2,000원 | 등록일 2022.01.13
  • 정보처리기사 필기준비 2020년 버전
    1과목 소프트웨어 설계폭포수모형 , 나선형 모형 , 애자일 모형 (반복, 스크럼, xp, 칸반, 크리스탈, asd)XP – 의사소통 단순성 용기 존중 피드백UML- 객체지향 모델링 ... 논리적설계 (데이터 모델링) : 논리적 구조로 데이터 모델링(매핑)/ 트랜잭션 이터페이스 설계/ 테이블 설계/ 스키마 평가 및 정제 / 논리적 스키마 설계3. ... 스테레오 타입(Stereotype)의 개념- UML 의 기본적 요소 이외의 새로운 요소를 만들어 내기 위한 확장 매커니즘.- 형태는 기존의 UML 의 요소를 그대로 사용하나 내부의미는
    시험자료 | 14페이지 | 2,000원 | 등록일 2020.11.29 | 수정일 2020.12.22
  • [조선대] 소프트웨어공학 18년도 기말고사 문제 (답 포함)
    UML객체지향 소프트웨어를 모델링하는 표준 그래픽 언어. 시스템의 여러 측면을 그림으로 모델링.16. ... 소프트웨어의 행위를 모델링하는 데 사용되는 것은?① 구조 도표 ② 상태변화도? 자료흐름도 ? 객체 관계도6. ... ① 역공학 도구 ② 모델링 도구? 다이어그램 작성 도구? 사용자 인터페이스 도구※ 각 설명에 대한 용어는 무엇인지 기입하시오.
    시험자료 | 3페이지 | 5,000원 | 등록일 2023.12.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대