• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(774)
  • 리포트(698)
  • 시험자료(65)
  • 자기소개서(4)
  • 방송통신대(4)
  • 논문(2)
  • 이력서(1)

"up-counter" 검색결과 1-20 / 774건

  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter)결과레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 고찰이번 실험에서는 기초 Up-Counter를 verilog 언어로 구현하고 시뮬레이션 결과를 확인한 뒤, FPGA로 동작해 결과를 확인하였다. ... 동기 카운터 설계를 할 때에는 간단한 up카운터 일지라도 진리표를 그리고 카르노 맵으로 논리를 간소화한 뒤 회로를 구성해야 했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter)예비레포트1. 실험 제목1) FPGA Board를 이용한 FSM회로의 구현 (up-counter)2. ... 설계 검사로는 카운터 계수 시퀀스가 바르고 lock-up” 상태는 없는지를 검증하여야 한다(lock-up 상태란 카운터의 주 시퀀스로 되돌아오지 않는 상태를 말한다).[3]5. ... Vivado Simulation Resultmodule codetestbench codesimulation waveform6.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계# JK FlipFlop(( Graphic Editor))((Waveform Editor ))# D FlipFlop ... (( Graphic Editor))((Waveform Editor ))느낀점d ff으로 up-down 카운터를 구현하는데 있어서, sel 신호를 0으로 주면 upcounter로 잘
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
  • [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만(교수님)제 목Sequential Logic Circuit Design ; Synchronous Binary up-counter1 ... 서론- 설계 목적① binary counter의 역할을 이해한다.② synchrnous type digital circuit의 설계과정을 이해한다.③ CAD 프로그램의 사용법을 익힌다 ... 서론- 설계 목적① binary counter의 역할을 이해한다.② synchrnous type digital circuit의 설계과정을 이해한다.③ CAD 프로그램의 사용법을 익힌다
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 결과(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. up counter 설계2. static 7 segment를 모듈화해서 사용codeCombo ... 할당된 그 값들이 다시 하위 모듈인 FND array(실습4)의 과정을 반복하여 원하는 값이 segment에 출력되도록 한다.code실험6) 4-bit up-down counter의 ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 시립대 전전설2 Velilog 예비리포트 7주차
    up counter with a synchrounous reset(5) Mealy Machine for the Serial I/O code converter(6) 74LS193A ... up counter with a synchrounous reset(1) 로직 설계 및 컴파일 및 코드 분석(2) 핀 설정5. ... counter< 74LS193의 Datasheet (Pin배열) >VCC : 전원을 공급하는 부분GND : 접지단자< Input >COUNTUP : 이 곳에 Clock을 넣어주게 되면
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    ~ 127 로 표현하는 모듈설계 조건*코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다.code(6) 실습64-bit up-down counter의 출력 값을 FND ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation ... (2) 실습2설계 조건Design counter with Piezo 동작 검사1.TOP module 설정2.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 인하대 fpga 4주차 latch, FF, counter보고서
    FPGA 4주차 보고서Gated D latchD_ Flip-flopRTL MAPtest_bench4bit-up counterRTL MAPtest bench4bit down counterRTL
    리포트 | 14페이지 | 3,000원 | 등록일 2020.07.07
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... down counter를 cascadable하게 구현했다. ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부)(2) [실습 2] Design counter with PiezoSource ... 6]은 4-bit up-down counter 로직으로, up/down mode, reset, data load의 기능을 포함하며, [실습 5]에서 작성한 코드를 module instantiation으로 ... 변환하여 FND array에 -128~127로 표현하는 모듈을 디자인 하시오.Source codeTestbenchPIN testbench 시뮬레이션 결과 설계서 up counter
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 충북대학교 전자공학부 기초회로실험II 예비보고서 실험 19. 카운터 회로
    이것은 up counter sequence를 통하여 진행되는 간단한 2진 리플 카운터로 동작한다. ... 된 입력방식 때문에 기본 ring계수기에 비해 2배의 상태를 표현한다.(3) UP/Down counter 의(a)처럼 각각의 플립플롭은 앞단의 플립플롭의 Q(A, B, C)로서 트리거 ... 동기식 카운터는 리플 카운터보다 전달속도가 매우 빠르고, 소형이며, 직접회로소자의 출현으로 이러한 형태의 카운터가 많이 사용되고 있다.동기식 계수기는 계수의 방향에 따라 up counter
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다.3. ... up-down counter의 출력 값을 FND Array에 표시하시오. ... Results of this Lab (실험 결과)(1) Results of Lab 04-bit up counter의 출력 값을 single FND 에 표시하시오.- 입력:Clock
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 시립대 전전설2 [7주차 결과] 레포트
    active-high 로드 버튼 : 버튼 SW4-bit counter 설계한 코드 설명clk, rst,up, en의 각각 변수 선언을 하였고clk이 posedge일떄와 rst negedge일떄 ... 다음의 특징을 가지는 4-bit counter를 설계하시오.CLK 은 1 Hz 입력출력 : LED 4개 (modulo-16 방식으로 동작)비동기 reset 기능Enable 신호(버스 ... Discussion (토론)첫실험에서는 up down의 기능 그리고 en load를 추가하여 4 bit counter를 작성을하였다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실험 방법[실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오.- 입력: 1Hz clock, resetn- 출력: 16진수로 표시[실습 2] Design ... 입력을 받아 decimal로 변환해 FND array에 -128 ~ 127로 표현하는 모듈을 디자인하시오- 예시[실습 6] 4-bit up-down counter의 출력값을 FND ... counter with Piezo- 입력: 1MHz clock, button SW 1~8(도레미파솔라시도)- 출력: piezo, 7-Segment- 동작: 1~8 각각의 버튼에 도레미파솔라시도의
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 기본 숙지 사항0) 7-Segment Decoder숫자나 문자를 표시해주는 장치, 8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 ... 교안과 장치에서는 Common Cathode 방식을 사용하여, High 값을 전달해 주었을 때 LED에 불이 들어오도록 구성한다.1) Common Cathode 7-Segment공통단자를
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 즉 사용하는 7-segment가 4개면 common단자 역시 4개이다. ... up, down 상태를 설정할 수 있는 mode변수가 있는 4비트 카운터의 코드를 사용하였다.변수 mode를 통해 up, down 상태를 정할 수 있고, 변수 en을 통해 카운터의
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 논리회로설계실험 10주차 up down counter설계
    style로 3-bit up-down counter를 구현한 코드는 위와 같다. ... style로 3-bit up-down counter를 구현하여 simulation 결과 출력된 wave이다. ... style로 3-bit up-down counter를 구현하여 simulation 결과 출력된 wave이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • [관광1] 여행영어1 - 내가 뉴욕의 공항에 도착하여 인포메이션 데스크를 방문했다고 가정하고, 인포메이션 데스크직원과의 대화를 영어로 만들어보세요.
    Please take the elevator up to the 2nd floor and you will see the City Bank’s exchange counter right ... Staff: City Bank’s exchange counter is on the 2nd floor. ... Each is right next to the bank exchange counter.Tourist: How can I get there?
    방송통신대 | 5페이지 | 3,700원 | 등록일 2022.09.08 | 수정일 2022.09.29
  • 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    , 그림 C-2와 같이 글자가 번지게 되는 현상이 발생하는 것일 수도 있다.따라서 외부 소자/장치를 control ... 신호를 연결하여 counter로 사용하는 방법을 이해한다.이론2-1. ... 초기값으로부터 down-counting해서 0이 되거나, 0으로부터 up-counting해서 초기값에 도달하는지를 Capture/compare 레지스터를 통해 확인한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    그림(a)는 0에서부터 1씩 증가하는 counter를 보여준다. counting은 up_enable 신호와 up_CLR에 의해 제어된다. counter와 더해지는 ADD(5:0)은 ... 그림(c)는 3-bit counter를 보여준다. ... (a),(b)up_rolling 모듈부터 살펴보자. up-scrolling 기능은 adder를 통해 구현할 수 있었다.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:06 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기