• 통큰쿠폰이벤트-통합
  • 통합검색(187)
  • 리포트(164)
  • 자기소개서(21)
  • 논문(1)
  • 이력서(1)

"verilog신호등" 검색결과 1-20 / 187건

  • 디지털 시스템실험, Verilog 코딩, Sequential Circuit (신호등) 구현, FPGA보드에서 신호등 사진 결과
    (신호등을 설계하였다)실험결과이번 실험은 각종 Flip-flop을 구현하고 최종적으로 이를 이용하여 BCD Ripple Counter와 Register를 이용한 한자리 정수 덧셈 뺄셈 ... FPGA보드에 연결하자 Clock에 변화에 맞춰서 신호등이 바뀌는 것을 확인하였다.토의이번 실험은 저번 주에 실험했었던 비동기식, 즉 Clock pulse가 모두 동시에 들어가지 않아 ... coding을 하였다.Reset버튼을 누르면 0000로 초기화가 되고 Up이 0이면 down count를 하고 1이면 up count를 하게끔 만들었다.다음으로 이 카운터를 응용하여 신호등을
    리포트 | 3페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.16
  • verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 신호등의 신호를 변화시킴Keypad- 임의의 값을 설정하여 Password 역할을 함Piezo- ... Segment에 표시LED- Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 LED의 출력 값 변화Bus SW- 임의의 값을 설정하여 Password 역할을 함신호
    리포트 | 3,000원 | 등록일 2015.01.01
  • 신호등제어기 Verilog
    신호등 제어기】문제 - 신호등 제어기교차로에서 신호등이 4개가 있음. ... 신호등이 함께 움직이고 동쪽과 서쪽의 신호등이 함께 움직인다.따라서, 4개 신호등은 2개의 신호등으로 제어기를 만들면 된다. ... (좌회전은 고려하지 않음)신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초동안 유지하도록 신호등을 제어하시오.① State Diagram북쪽과 남쪽의
    리포트 | 5페이지 | 2,000원 | 등록일 2009.04.21
  • verilog를_이용한_신호등_설계_파형_분석_및_고찰
    module traffic_light_controller(clk, rst, x, hwy_R, hwy_Y, hwy_G, fwy_R, fwy_Y, fwy_G);input clk, rst;input x;output hwy_R, hwy_Y, hwy_G, fwy_R, fwy_Y..
    리포트 | 6페이지 | 1,500원 | 등록일 2011.12.18
  • verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    또한 간단한 동작원리로 동작하는 주사위 값을 LED 로 표현하는 회로를 Verilog HDL 언어를 이용하여 직접 코딩하고 , Verilog HDL 언어를 조금 더 숙련되게 사용하고자 ... 주사위 설계 프로젝트를 통하여 팀원들 간에 Verilog HDL 언어를 이용한 코딩 기술에 대해서 좋은 정보를 함께 공유해본다 . ... HDL설계결과 Verilog HDLXilix SimulationTHANK Y OU{nameOfApplication=Show}
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • [Flowrian] 신호등 제어 회로의 Verilog 설계 및 시뮬레이션 검증
    RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 신호등 제어 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 논리회로의 구조 ... 본 문서에서는 아래의 모듈들은 Verilog 언어로 설계하여 시뮬레이션 검증을 하였다.- 타이머 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 신호등 제어 유한상태머신 :
    리포트 | 16페이지 | 1,500원 | 등록일 2011.10.03
  • verilog HDL을 이용한 LED주사위 설계 보고서 (자판기 or 신호등)
    on 할 경우 state에 저장된 값이 출력되며 주사위의 눈으로 나타낸다.주사위 회로 동작 조건정의 (모듈, 입출력변수, 매개변수)-주사위 제어회로 모듈= 입력 =LED전광판 점등 ... 과제 최종보고서과제명Verilog HDL을 이용한 주사위 설계팀번호지도교수공동연구원이 름학 번전화번호“Verilog HDL을 이용한 주사위 설계” 과제에 대한 최종보고서를 첨부와 같이 ... 또한 간단한 동작원리로 동작하는 주사위 내부의 제어기의 회로를 Verilog HDL 언어를 이용하여 직접 코딩하고, Verilog HDL 언어를 조금 더 숙련되게 사용하고자 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2013.07.16
  • [프로세서 설계] 신호등 설계 verilog HDL 을 이용하 설계
    XE III 6.4b 을 이용한 verilog 구현 주도로를 기준으로 파란색 신호가 빨간색 신호보다 시간적으로 길게 설계함 - 주도로 파란색신호 : 12 초 주도로 빨간색신호 : ... 하지만 스펙설정하고 신호딩코딩 , 진리표를 다완성했으므로 , verilog 코딩을 좀더 숙지하여 코딩되면 짤수있을꺼 같다 . ... 수행결과에 대해 12 월 18 일 수업시간에 발표 예정임 3 거리 신호등과 주도로의 미래의 신호등인 HIGH-PASS 신호 추가 HIGH-PASS 신호일때는 하늘로 날아가기 때문에
    리포트 | 33페이지 | 3,000원 | 등록일 2009.12.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험하고, Finite State Machine 등을 설계 실습한 뒤, 로직을 시뮬레이션하기 ... 설계 과정① 문제를 정의한다.② 각 상태에 이진 값을 정의한다. (00: 전원 켜는 중, 01 작동 중, 10: 오버클럭 모드 등)③ State Table을 만든다.④ K-Map 등을 ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트
    사용한다.2) Verilog 문법reg:절차형 할당문(always, initial)에 의해 값을 받는 객체이고, 신호를 저장하는 역할을 한다. ... pin 선언module에서 필요한 것들을 선언한다.C언어에서 변수 선언하는 것과 유사한 부분이 있다.port (port들의 방향, 비트 폭), reg, wire, parameter 등을 ... 레지스터 값이므로 크기를 정해준다.wire:신호를 전달하는 역할을 한다. wire 타입의 변수는 값을 저장할 수 없다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    소리나 경고음 등을 표현할 수 있다.- 음성 주파수 대의 펄스 신호를 입력하여, 해당 주파수의 소리를 출력하게 한다.- PIEZO 주파수에 따른 음계는 다음 표와 같다.2. ... 실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • Vivado를 이용한 Moore, Mealy FSM 설계 예비레포트
    회로의 비트 크기 또는 지연 값 등과 같이 자주 사용하는 상수를 정의하기 위해 parameter을 사용해서 정의한다. ... .- Verilog 문법parameter:모듈 내에서 상수를 정의하는 수단이다. ... 입력이 주어지면 명령문은 입력 신호가 만족하는 조건을 찾기 위해 가능한 각 조건을 살펴본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 순차논리회로설계 결과레포트
    조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와외부 출력 신호 등 두 출력 신호가 있음? ... 클럭에 영향을 받지 않는다.- 비동기 클리어, 프리셋 레지스터 : 클리어, 프리셋 신호가 클럭에 영향을 받지않는다.- Verilog, VHDL의 인퍼런스(Inference; 추론, ... [실험결과]▣ 레지스터 동작 실험실제 Verilog를 이용한 레지스터 설계▷ 레지스터의 Verilog 표현▷ 레지스터의 Verilog 설계 컴파일 과정▷ 레지스터의 동작 확인?
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    단지 선을 연결하거나 and나 or등의 모듈로 나온 선을 잇는 것만 가능하다는 특징이 있다.Verilog에서 for문, if문의 사용법에 대하여 조사하시오.Verilog는 C언어와 ... 두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 및 집적 회로와 같은 디지털 및 혼합 신호 시스템을 설명하기 ... 문을 사용하여 실행 조건을 갖춰 놓은 모델링 기법이다.Always 구문- 시뮬레이션이 실행되는 동안 반복적으로 실행.Always @(*) 함축적 감지신호 표현으로 모든 입력신호
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서울시립대학교 전전설2 6주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Flip-Flop, Register, SIPO 등을 설계한다. 다양한 설계 방법 등을 실험한다.나. ... Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 Sequential Logic을 설계 및 실험한다. ... Hyperlink \l "주석1" [1]- SR 래치진리표논리 구성Time diagram- SR 플립플롭진리표논리 구성Time diagram(3) Verilog HDL의 always
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... 부호화된 신호로 변환.- 2^N 가지의 입력신호들로부터 N비트의 출력신호를 만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • LG전자 VS본부 HW설계 합격 자기소개서
    RISC-V 프로세서 기반의 플랫폼에 임베디드하여 SoC 플랫폼을 구현하고 Xilinx Vivado를 이용하여 FPGA 검증을 진행하였습니다. 2주동안 APB-IP를 설계하기위해 신호등 ... ㅇㅇ대 ㅇㅇㅇㅇㅇㅇㅇ연구실 V2G vehicle배터리 전력 공유 프로젝트에서 전기차의 다양성이 미래 교통수단의 핵심이 될 것이란 생각을 했습니다.회로이론, 기초전자회로, 전자회로와 신호및시스템 ... 이후 Verilog C 언어와 Spice 프로그램 활용에 큰 도움이 되었습니다.전자회로 3학점 4.5 / 4.5DEM, SSPAC와 같은 Calibration 기법을 통해 회로 작동
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    (ex) 0: 전원 켜는 중, 1: 작동 중 등) 그리고 State Table와 K-Map 등을 활용하여 최적화한다. ... 실험 목적 :1) 래치나 플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자.2) 만약에 FPGA보드를 사용한다면, verilog로 ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 반전신호를 받게 설계되어 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 디지털시스템실험 2주차 예비보고서
    연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다. ... 왼쪽 Instance 창에서 LAB01_INTRODUCTION_TB를 선택하고 마우스 오른쪽 버튼을 눌러 Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력 신호를 ... Verilog의 목적Verilog HDL은 하드웨어 설계자가 저수준(게이트.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 9장 VHDL 설계 툴 사용법 예비
    ISim(VHDL/Verilog), Preferred Language ? VHDL,VHDL Source Analysis Standard ? VHDL? ... , 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다. ... FPGA나 집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다.주로 디지털 회로 설계에 사용된다.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대