• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7)
  • 리포트(7)

"vhdl 잔상" 검색결과 1-7 / 7건

  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    Clock 신호와 2-to-1 MUX (74LS157), NOT gate 를 이용하여 한 번에 하나의 7-segment만 표시되도록 한 후 clock의 주파수를 높이면 잔상 효과에
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [논리회로실험] 실험11. 디지털 클락
    우리는 DIGIT들이 빠르게 넘어가는 가면서 남기는 잔상(?)을 이용해서 시계를 읽는 것이다. ... 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 실험에서는 VHDL
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... 나타내는 변수이다.signal flicker : std_logic;signal clk1hz : std_logic;--클럭을 위한 변수이다.signal cnt: integer :=0;--잔상효과를 ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    VHDL 이용한 STOPWATCH 설계 과제1.설계 목적-VHDL사용법과 VHDL의 코드구조인 계층구조를 익히고 설계해본다.2.설계과정≪ VHDL 소스코드를 계층 구조로 표현하기 위한 ... 블록도 구상도 ≫VHDL 코드는 1개의 TOP모델과 3개의 SUB모델 1개의 테스트벤치 총 5개의 파일로 구성되어 있습니다.1 TOPMODEL : stopwatch2 SUBMODEL
    리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • VHDL을 이용한 디지털 시계 설계
    하지만 진동수를 높게하여 빠르게 변화시킨다면 우리는 잔상이 남아 켜져 있는 것으로 인식하게 된다. ... introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • Dot Matrix 에 대한 최종적인 연구 내용과 작성한 VHDL 소스
    너무 짧은 시간에 출력이 되기 때문에 우리 눈에는 잔상만이 남을 뿐 더러 한 화면이 한 번에 나오는 것으로 감지 될 것이다. ... =>위의 마이크로프로세스 회로도는 VHDL 단계까지 하는 프로젝트라서 똑같이 만드는 것은 불가능 하다고 생각되었다. ... 1.연구 개요Dot Matrix 에 대한 최종적인 연구 내용과 작성한 VHDL 소스를 주로 다룬다.2.연구 내용1)Dot Matrix< Dot Matrix>이 사진은 원 칩 마이크로프로세서
    리포트 | 13페이지 | 3,200원 | 등록일 2008.11.23
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    SEGMENT의 이해가.7-SEGMENT의 구조나.7-SEGMENT의 출력 데이터2.7-SEGMENT를 이용한 시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 ... 이 7-segment역시 사람의 눈의 잔상 효과를 이용하여 빠른 속도로 각각의 digit 하나씩을 구동하여 전체적으로 숫자가 출력되는 것과 같은 효과가 나타나도록 해야 한다.나. 7
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대