• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(24)
  • 리포트(23)
  • 이력서(1)

"vhdl traffic" 검색결과 1-20 / 24건

  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    동작을 간단하게 모델링 한 것으로 vhdl 구현 시에 behavioral 방식으로 그대로 작성이 가능하다.Sequence detector는 과거의 입력결과를 기억해서 특정 시퀀스가
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • Traffic controller (using VHDL)
    Analyze the source codes of traffic lights controller. ... ----library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity top_traffic isport ( ... lights module is basically counted and the traffic lightsare run.- When push A button, the module being
    리포트 | 20페이지 | 6,000원 | 등록일 2012.04.04 | 수정일 2014.06.01
  • Traffic Control Signal 제작 (VHDL) - 신호등 제작
    ◆ Title : Traffic Control Signal 제작-- 일방통행만으로 구성된 자동 센서가 있는 교차로에서, 신호등 신호 컨트롤을 제작-- 두 도로는 각각 PassA, PassB로 ... 경우에는 1 cycle 동안 두 신호등 모두 황색등이 되었다가 신호가 바뀐다.-- 한 쪽에만 계속 차가 있는 상황이라면 cycle에 무관하게 계속 녹색등이 한 쪽에게만 켜지게 된다.◆ VHDL
    리포트 | 5페이지 | 1,000원 | 등록일 2008.12.26
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을 ... 프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 이러한 과정에서 기본적으로 주어진 top_traffic, clock_divier, interval_counter 와 같은 신호등 컨트롤러 소스를 분석하고 이해하여 추가적으로 제시된
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • VHDL을 이용한 TLC설계,개선사항,Traffic light controller설계 집적설계
    Light Controller)Verilog Code (Traffic Light Controller)Verilog Code (Traffic Light Controller)Verilog ... Code (Traffic Light Controller)Verilog Code (Traffic Light Controller)goNS goWE Scenario goSN goEW goEW ... Traffic Light ControllerStyle – 2 / 166 카노 분석 필수 감동 감동 무관심 무관심 1 차원적 감동 1 차원적 무관심 감동 무관심 필수 소비자 요구 신호
    리포트 | 22페이지 | 1,000원 | 등록일 2010.06.05
  • VHDL을 이용한 TLC설계,개선사항,Traffic light controller설계 집적설계
    Traffic Light ControllerKano analysis Essential Impressive Impressive Indifference Indifference One-dimensional ... Indifference Essential Consumer demand The signal system should be accurate Should not break the flow of traffic ... led mode North East South West Red Green0 Green1 C E Diagram Bar Person FND mode Green1 Count4 Street Traffic
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.05
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님께서 말씀하신
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all ... ;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1, segY1 ... ;architecture design of traffic_sign isconstant RGtime : integer:= 10;constant RYtime : integer:= 3;constant
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 디지털공학실험 08. 신호등 결과
    < Traffic Light 결과보고서>VHDL 코드[VHDL Module Code]library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_unsigned.all ... 0));end traffic;architecture traffic of traffic istype state_type is (s0, s1, s2, s3, s4, s5);signal ... ;entity traffic isPort ( clk : in STD_LOGIC;clr : in STD_LOGIC;lights : out STD_LOGIC_VECTOR (5 downto
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.29
  • 4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4. ... ;architecture design of Traffic isconstant traffic_rotate : integer := 7;--사거리 신호등의 한 쪽 방향만의 신호가 진행되는 ... 실습 내용 :(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL을 이용한 신호등 설계 프로젝트
    실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과, ... VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명하겠습니다.Process 1. ... 소프트웨어 전체 구성도- TOP Traffic에서 전체 모듈을 총괄하며, TOP Traffic에서 사용하는 Process들을 따로 지정하여 두고 사용하였다.
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • Term Project Report Traffic Light Controller
    ▶ HBE-COMBO II it uses Traffic Lights Module where and it is affixed in HBE-COMBO II board is used ... in actual real life the control of the signal light which it embodies.▶ Traffic Light Controller ... Code of experimental data analytical, gain and loss, the process which it amends VHDL languages it
    리포트 | 16페이지 | 5,000원 | 등록일 2011.06.09
  • Traffic Light Controller
    동작상태를 확인하여Simulation 결과와 동작상태를 비교 분석한다.▶ VHDL과 COMBO를 통하여 디지털 논리 회로 설계에 대해 공부한다.1.2 신호등 작동 원리▶ 신호등은 ... 이해, 수정하는 과정을 통해VHDL 언어를 이해한다.▶ Quartus II 사용을 통해 설계 능력과 Test Bench를 사용을 통해Simulation 능력을 향상시킨다.▶ HBE-ComboⅡ보드에서 ... 기 말 프 로 젝 트 보 고 서- Traffic Light Controller -1.
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • [A+자료] VHDL를 이용한 신호등 설계 입니다.
    목적이번 설계의 목적은 VHDL을 이용해서 사거리 신호등 시스템을 구현하는 것이다. 차도는 , 두 개로 가정한다. ... Source Codelibrary ieee;use ieee.std_logic_1164.all, ieee.numeric_std.all;entity traffic_control is - ... rtl of traffic_control is -- signal 선언signal car_sync : std_logic;signal TL : std_logic;signal ST :
    리포트 | 6페이지 | 3,000원 | 등록일 2012.06.17
  • VHDL을 이용한 교통신호기제어
    VHDL CODE 분석 및 결과1) VHDL CODElibrary ieee;use ieee.std_logic_1164.all;entity traffic_hwang isport(clk ... VHDL CODE 분석 및 결과4. 결론 및 느낀점1. ... ;architecture su_nam of traffic_hwang isconstant time_rotate : integer := 30;-- 신호등의 한쪽 방향의 신호가 진행되는
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다.개발도구: FPGA(ALTERA)BOARD, QuartursⅡ//신호등 구동을 ... ;//클럭 분주를 위한 변수의 선언architecture arc of traffic is//신호등 구동을 위한 변수 선언signal cnt:integer range 0 to 4999 ... ieee;use ieee.std_logic_1164.all;use ieee.std_logic unsigned.all;use ieee.std_logic_arith.all;entity traffic
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • 교통 신호등
    리포트 | 2,000원 | 등록일 2014.04.27
  • VLSI
    Verilog/VHDL ProjectSynopsys Project◈ DescriptionWe changed our design from ‘Traffic Signal Controller ... ’ to ‘Elevator’, because ‘traffic signal controller’ is somewhat trite and simple.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.02.14
  • VHDL로 만든 신호등 컨트롤러 소스입니다.
    VHDL로 만든 신호등 컨트롤러 소스입니다.
    리포트 | 8페이지 | 5,000원 | 등록일 2008.03.30 | 수정일 2021.06.28
  • [전기전자] 교통신호 제어기에 관한 VHDL 코딩
    컴파일과 웨이브폼도 해봐서 문제없었으니깐 안심하시고 쓰세요.
    리포트 | 4페이지 | 1,000원 | 등록일 2003.01.12
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대