• 통큰쿠폰이벤트-통합
  • 통합검색(29)
  • 리포트(29)

"xilinx segment" 검색결과 1-20 / 29건

  • 디지털 공학 실험 XILINX 결과레포트 7-segment
    고찰이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7-segment 란 binary decimal ... 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)]2. 실험 결과3. ... 저번과 마찬가지로 코드의 정확한 작성이 매우 중요함을 알 수 있었다. ‘~’ 기호를 실수로 빼먹었는데 simulation을 하는 데에는 딱히 오류가 검출되지 않았지만 FPGA에서 각
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 이로써 시뮬레이션 확인도 끝났다.epilogue구현을 끝마치며 BCD-7segmentXilinx-ISE을 통해 구현한 것은 정상적으로 작동하는 것으로 보였다. ... 그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로,
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    -segment 소자2) 구현하는 SYS-Lap 5000에서는 6개의 7-segment 모듈을 하나로 구성한 소자를 사용한다. ... 시계의 구성가.XILINX를 이용한 7-SEGMENT시계의 개념도나.VHDL을 통한 소스 분석3.결론※ 7-SEGMENT를 이용한 시계구현 목적XILINX칩과 7-SEGMENT 모두 ... 기본적인 것은 그림 1과 같으나 7-segment의 개별 led를 구동하는 핀과 각각의 숫자를 구동하는 핀으로 구성되어있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    가도록 설계하는 스탑워치를 Xilinx(Spartan 3)을 통해 구현해본다.(2) 설계 방법이렇게 크게 이렇게 세 개로 나누어져 있다.각각을 살펴보면1. ... 들어올 때마다 윗자리의 segment를 작동시킨다.(190행-192행)6개의 segment에 나타낼 seg와 dotseg함수를 신호할당문으로 대입한다. ... 또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 시립대 전전설2 Velilog 결과리포트 7주차
    두 번째 7segment 단자를 표시하기 위해 Common단자를 1011로 맞춘다. ... 다음 7segment를 표시하기 위해 차례대로 1101, 1110으로 조절한다.PIEZO주파수를 조정하여 소리를 발생시키는 출력장치이다. ... Decode Data7segment 값에 따라 1~9 그리고 A~F를 표시할 수 있다.Dynamic 7 Segment4개의 7Segment를 표시하기 위해서 Common단자를 조절한다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.12.11
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 9주차 Lab09 예비 레포트 LCD Control 전자전기컴퓨터설계실험2,
    지난주 Lab08에서 다룬 7segment와는 다르게 Text LCD에는 문자를 표시하기 위한 컨트롤러가 같이 구성되어 있기 때문에, 이 컨트롤러를 제어하는 로직이 설계되어야 한다.①Text ... 실험 장치Combo box, Xilinx program, lab top4. ... 실험 목적Xilinx ISE 프로그램을 통해 Text LCD 컨트롤러를 설계해보고 장비와 연결 후 작동을 확인해 본다.2.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.11.16
  • 논리회로설계 실험 디코더 인코더
    이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다.(5) BCD to 7 segment Decoder컴퓨터3. ... 방식이 다른자이에 전달해 그 장치가 잘 인식하도록 바꿔주는 역할을 말한다.인코더(encoder)는 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다.(3) 7 segment컴퓨터는 ... Schematic은 더욱더 낯선 방법이었는데 C언어에서는 상상도 못하는 xilinx를 통해 회로를 그리는 방법이었다. xilinx는 단지 코드를 짜는 그런 프로그램인 줄만 알았지만
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 실험 장치Combo box, Xilinx program, laptop4. ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    더 낮은 음계일수록 저주파이므로, 주기가 더 긴 것을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment ... Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교IntroduceObject7-Segment를 ... 출력한다.음계에 따른 주파수ToolsLaptop - ISE Digital Design Tool (Version - 14.7)HBE-ComboⅡ-SE Board (included - Xilinx
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)8주차예비
    실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 카운터 회로를 만들고 이를 응용하여 segment, piezo에 ... Static/Dynamic 7-segment7-segment 하나가 쓰이는 것을 static 7-segment라고 한다. 즉, 십진수의 한 자리를 표현해주는 것을 의미한다. ... segment 중 어떤 segment에 표시가 될지에 대하여 지정해주는 역할을 한다.다.
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 7segment설계
    A반 4조7 segment 설계목 적 : Xilinx의 Schematic tool을 사용하여 seven-segment display driver을 만들어 보자설계방법 :1. seven-segment ... 결과값이 이론값과 맞는지 확인한다.seven-segment display driver1.seven-segment truth tableDigitwxyzabcdefg*********** ... Xilinx의 Schematic tool로 회로도 제작6. Xilinx로 파형 / 결과값 출력
    리포트 | 12페이지 | 1,000원 | 등록일 2010.06.16
  • 논리회로설계실험 FlipFlop Register 결과보고서
    RoV+Lab3000_LED, HELLO, 7 segment실험목표LED 작동, 7 segment에 HELLO 출력, DIP 스위치를 사용하여 단일 7 segment에 대응 하는 16진 ... 먼저 Xilinx 프로그램을 사용하여 소스코드를 동작적 모델링 방식으로 코드를 구성하였다. 하드웨어에서 BASE_PUSH_SW는 Active 상태는 Low이다. ... 소스코드를 살펴보면, 여섯 개의 7-segment의 위치를 나타내는 코드로 digit_con을 사용하였으며, 7-segment의 8개의 LED에 대응하도록 설정될 코드로 sseg를
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    이를 사용하여 Xilinx(Spartan 3)를 이용하여 Stopwatch 코드를 설계하여 보았다.선언부 소스코드Stopwatch에서 사용될 input과 output을 선언해 주었다 ... 여기서 7 segment와 7 segment LED는 ‘Active High’이다. 6개의 7 segment(가장 오른쪽 7 segment부터 DIGIT_CON[0], DIGIT_CON ... 7segment의 8개 LED로 나타나기 때문에 DIGIT_CON과 sseg를 선언해 주었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름Professor조교Expected Results[응용] Piezo ... Application Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog ... HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 전자전기컴퓨터설계실험2(전전설2)8주차결과
    실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 카운터 회로를 만들고 이를 응용하여 segment, piezo에 ... Static/Dynamic 7-segment7-segment 하나가 쓰이는 것을 static 7-segment라고 한다. 즉, 십진수의 한 자리를 표현해주는 것을 의미한다. ... segment 중 어떤 segment에 표시가 될지에 대하여 지정해주는 역할을 한다.다.
    리포트 | 24페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Xilinx를 이용한 7-Segment 제어
    ));// 기준 클럭으로 clk 대신 FirstExam의 시스템 LED 제어용 포트를 받는// second 레지스터를 이용한다.always @ (posedge second or negedge ... 해당 내용은 “Xilinx PROM Configuration” 문서나 “Xilinx Clock Division” 문서를 참고하면 쉽게 이해할 수 있을 것이다.2) Port Design설계용 ... Verilog HDL and Xilinx ISE 8.1Design 7-Segment Controller[Using Clock Division Module]Contents TOC \o
    리포트 | 14페이지 | 1,000원 | 등록일 2010.04.10
  • 7 segment를 이용해 학번과 이름 출력하기
    (4 downto 0);seg : out std_logic_vector (6 downto 0));end segment;architecture Behavioral of segment ... 7 segment를 이용해 학번과 이름 출력하기by Han. S H1. 개요7 seament를 이용해서 입력을 했을 때 숫자와 알파벳이 출력되도록 한다.2. ... IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.18
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    설계한다.Segment의 LED 순서는 modulo(학번/67)값으로 결정한다.modulo(201122145/67) = 4 (201122145를 67로 나누었을 때의 나머지)기존 7segment의 ... 설정이 때, input이 8bit 이므로 0 ~ 7까지, output이 14bit 이므로 0 ~ 13까지 지정한다.logic_vector를 integer로 변환하는 함수 작성7-segment ... MSB로 주어 HGFEDCBA 순으로 Input을 넣어주었으니 유의하여야 한다.이 외 코딩에 약간 불필요한 부분이지만 넣은 부분에 대해 잠깐 언급하자면, function logic_7segment
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • Lab#08 Application Design1
    Materials-FPGA(Filed Programmable Gate Array)-XC3S200-Xilinx ISE. ... Post-Lab ReportLab#08 Application Design1@ 7-segment and Piezo_Control담당 교수강 상 혁담당 조교실 험 일실 험 조학 번이 름Contents1 ... Purpose of this lab7-segment와 Piezo의 특성을 이해하고, 이를 통하여 응용회로를 작성한다.나.
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대