• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

7세그먼트 레포트

*호*
최초 등록일
2013.03.26
최종 저작일
2011.08
5페이지/ 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

실험목적
실험이론
실험 방법 및 결과
실험고찰

본문내용

실험목적
- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.
실험이론
1) 디코더 원리
7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
2) 7세그먼트 LED 디코더
그림에서 입력단에 BCD 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.
3) 7-세그먼트의 표시상태
애노드 공통형 BCD-7 세그먼트 LED 디코더 IC로서 7447이 있다. 0~9의 표시상태를 나타내면 그림(a)과 같다. 통상적으로 10진 표시에는 0~9만 사용되지만 DCBA에 10~15(1010~1111)가 들어갈 경우의 표시상태는 그림(b)와 같다.

<중 략>

3. 그림 14-11에서 D=B=C=A=0일때 단자에 연결된 스위치를 연 상태()와 닫은상태()의 LED표시기의 출력 상태를 관찰한다.
4. 그림 14-11에서 윗자리 디코더의 를 1로 놓았을 때 주어진 입력 상태에 대한 출력의 표시상태를 관찰하여 표 14-4의 해당란에 기입한다.
5. 그림 14-11에서 윗자리 디코더 가 0으로 놓았을 때 주어진 입력상태에 대한 출력의 표시상태를 관찰하여 표14-4의 해당란에 기입한다.

참고 자료

없음
*호*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 메카트로닉스 BCD스위치 7세그먼트 표시 실험 레포트 4페이지
    세그먼트의 이용 및 특징대부분의 7세그먼트 표시 장치 발광 다이오드(LED ... 메카트로닉스 및 실습실습보고서[BCD 스위치의 값을 7-세그먼트에 표기하기 ... 이 코드를 통해 7세그먼트에 해당하는 값의 숫자를 나타낼 수 있음.74LS47
  • 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트 10페이지
    기본 이론- BCD × 7- 세그먼트 디코더- 7-세그먼트(7-segment ... 세그먼트(7-segment) 구성- [표 1] 7-세그먼트(7-segment ... )는 [그림 1]과 같이 LED 7개로 숫자를 표시한다.- 7-세그먼트(7
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트 4페이지
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1 ... segment7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, ... LED의 배열이라고 생각하면 된다.아래는 7세그먼트의 7개 영역을 이용해서
  • 7-세그먼트 표시기와 디코더 결과보고서A+ 9페이지
    Experiment-Report(10장 7-세그먼트 표시기와 디코더)1. ... to 7-세그먼트 디코더를 설계한다.2. ... 그리고 CBA가 입력이 되고, Y0~Y7이 출력인데 7-세그먼트를 표시하기
  • 전기및디지털회로실험 실험8 결과보고서 8페이지
    전기및디지털회로실험결과레포트이름 :학번 :학과 :담당교수 :목차실험 명2실험 ... 기존 7-세그먼트는 입력이 7개로, 전용 디코더의 출력을 인가받아야 사용할 ... 세그먼트0V 입력0V 입력시 7-세그먼트-실험 1번 문항 결과분석예상한 신호와
더보기
최근 본 자료더보기
탑툰 이벤트
7세그먼트 레포트
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:32 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기